多路智力竞赛抢答器的设计

作者&投稿:荤米 (若有异议请与网页底部的电邮联系)
跪求12路智力竞赛抢答器设计图,能用仿真软件做出来 具体要求入下。多路智力竞赛抢答器设计:主要思路如下~

在不,,我晚上帮你作! 受到请回
已经发到你的邮箱
不懂问下 跟你讲下思路

根据自身情况选择适合的抢答器。市场上抢答器种类繁多,功能各异,价格差异也很大。那么选择一款真正适合的抢答器就非常重要。
A.普及型抢答器:通常有一台主机,有供选手抢答的按钮,有一台观众显示屏可供观众观看,而且可配有手翻的分数显示牌进行对每位选手的分数显示。这类抢答器可供只需一般抢答功能的单位进行使用。优点:价格便宜,普及度非常高。缺点:不能对每位选手进行自动记分,略显不便。
推荐:应昌牌608型知识竞赛抢答器:集抢答,数显倒计时器,语音提示为一体;二种经典抢答模式供用户选择,中文语音提示模式与音乐提示模式;抢答、答题两种数显到计时功能,时间1-999秒可任意设定;数码管超高亮显示,美观气派。
B:高级电子抢答器:通常有一台主机(并配有操作键盘),每位选手配有自动记分的显示器和抢答按钮。优点:直观方便,美观气派。缺点:价格较普通的无电子记分牌的抢答器稍高。
推荐:应昌牌898A型带大屏幕显示全自动高级知识竞赛抢答器:集抢答器、记分器、数显倒计时器于一机;积木式设计,1-16组任意挂接;小键盘完成所有功能设定及各种操作;基本分、每组次加减分,抢答、答题两种倒计时时间均可任意设定等功能

1.前言
1. 1
工厂、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。在我校举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。为解决这个问题,我们小组准备就本次大赛的机会制作一个低成本但又能满足学校需要的八路数显抢答器。本课程设计是“模拟电子技术”与“数字电子技术”两门课程的综合课程设计。
1. 2
本课程设计旨在培养学生综合模拟、数字电路知识,解决电子信息方面常见实际问题的能力,掌握一般电子电路设计方法与设计步骤。促使学生积累实际电子制作经验,准备走向更复杂更实用的应用领域,是参加“全国大学生电子竞赛”前的理论与实践相结合的综合技能训练。目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。
1.3主要功能介绍
1.3.1抢答器最多可供8名选手参赛,编号为1~8号,各队分别用一个按钮(分别为S1~S8)控制,并设置一个系统清零和抢答控制开关S,该开关由主持人控制。
1.3.2抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,同时蜂鸣器发出间歇式声响(持续时间为1秒),主持人清零后,声音提示停止。
1.3.3 抢答先后的分辨率为5ms。
1.3.4 关S作为清零及抢答控制开关(由主持人控制),当开关S被按下时抢答电路清 零,松开后则允许抢答。输入抢答信号由抢答按钮开关S1~S8实现。
1.3.5 有抢答信号输入(开关S1~S8中的任意一个开关被按下)时,并显示出相 对应的组别号码。此时再按其他任何一个抢答器开关均无效,指示灯依旧“保持” 第一个开关按下时所对应的状态不变。

2.总体方案设计
2.1方案一:
如图1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始"状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。

2.2方案二
实验电路原理方框图如图所示。该电路作为抢答信号的接收、保持和输出的基本电路,手动清零开关CR,S1~S8为抢答按钮开关。
本抢答器可同时供8名选手或8个代表队比赛,分别用8个开关S1~S8表示。同时设置一个系统清除和抢答控制开关,该开关由裁判控制。此抢答器应具有数据锁存功能与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,同时蜂鸣器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到裁判将系统清零为止。

2.3方案比较
通过对方案一和方案二的比较可以看出,并且增加了控制电路,是电路图简单明了,避免重复,控制起来更加方便。抢答器队参赛选手的动作的先后有很强的分辨力,即使先后只相差几毫秒,抢答器也能分辨出来,抢答器直线实现动作选手的编号,并保持到主持人清零为止。
2.4方案论证

2.5方案选择
通过对比,最终选择方案一

3单元模块设计
3.1抢答器电路
3.1.1抢答器电路图方框图

3.1.2抢答器电路图设计及电路功能介绍

设计电路见附录图3.1.2所示。电路选用优先编码器 74LS148 和锁存器 74LS279 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关S置于"清除"端时,RS触发器的 R、S端均为0,4个触发器输出置0,使74LS148的优先编码工作标志端(图中5号端)=0,使之处于工作状态。当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下S5),74LS148的输出经RS锁存后,CTR=1,RBO(图中4端) =1,七段显示电路74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,CTR=1,使74LS148 优先编码工作标志端(图中5号端)=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为CTR=1,使优先编码工作标志端(图中5号端)=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。

3.2可预致时间的定时电路
3.2.1可预致时间的定时电路方框图

3.2.2可预致时间的定时电路图设计及电路功能介绍

原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。74192的预置数控制端实现预置数,由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DPY_7-SEG 上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时, 输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。下面结合图4具体讲一下标准秒脉冲产生电路的原理。结合图4,图中电容C的放电时间和充电时间分别为
, 于是从NE555的3端输出的脉冲的频率为
,结合我们的实际经验及考虑到元器件的成本,我们选择的电阻值为R1=15K,R2=68K,C=10uF,代入到上式中即得 ,即秒脉冲。

3.2.3控制电路和报警电路

由555 芯片构成多谐振荡电路 ,555 的输出信号再经三极管放大 ,从而推动扬声器发声
控制电路包括时序和报警两个电路 ,如图5 所示。控制电路需具有以下几个功能。
主持人闭合开关扬声器发声 ,多路抢答器电路和计时电路进入正常状态; 参赛者按键时 ,扬声器发声 ,抢答电路和计时电路停止工作;
抢答时间到 ,无人抢答 ,扬声器发声 ,抢答电路和计时电路停止工作

3.2.4电源电路

3.3电路参数的计算及元器件的选择
3.3.1电路参数的计算
3.3.2元器件的选择

4软件设计
4.1软件设计原理及设计所用工具
4.2主要软件设计流程框图
4.3功能介绍

5特殊器件介绍

5.1 74LS148为8线-3线优先编码器,表5.1.1为其真值表,表5.1.2为其功能表,图5.1.1为其管脚图,图5.1.2为其电路图。
表5.1.1 74LS148 8线—3线二进制编码器真值表
输 入 输 出
A7 A6 A5 A4 A3 A2 A1 A0 Y2 Y1 Y0
0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 00 0 0 0 0 1 0 00 0 0 0 1 0 0 00 0 0 1 0 0 0 00 0 1 0 0 0 0 00 1 0 0 0 0 0 01 0 0 0 0 0 0 0 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

表5.1.2 74LS148 8线—3线二进制编码器功能表

图5.1.2 74LS148电路图 图5.1.1 74LS148管脚图

74LS148工作原理如下:
该编码器有8个信号输入端,3个二进制码输出端。此外,电路还设置了输入使能端EI,输出使能端EO和优先编码工作状态标志GS。
当EI=0时,编码器工作;而当EI=1时,则不论8个输入端为何种状态,3个输出端均为高电平,且优先标志端和输出使能端均为高电平,编码器处于非工作状态。这种情况被称为输入低电平有效,输出也为低电来有效的情况。当EI为0,且至少有一个输入端有编码请求信号(逻辑0)时,优先编码工作状态标志GS为0。表明编码器处于工作状态,否则为1。由功能表可知,在8个输入端均无低电平输入信号和只有输入0端(优先级别最低位)有低电平输入时,A2A1A0均为111,出现了输入条件不同而输出代码相同的情况,这可由GS的状态加以区别,当GS=1时,表示8个输入端均无低电平输入,此时A2A1A0=111为非编码输出;GS=0时,A2A1A0=111表示响应输入0端为低电平时的输出代码(编码输出)。EO只有在EI为0,且所有输入端都为1时,输出为0,它可与另一片同样器件的EI连接,以便组成更多输入端的优先编码器。

74LS148功能表
从功能表不难看出,输入优先级别的次为7,6,……,0。输入有效信号为低电平,当某一输入端有低电平输入,且比它优先级别高的输入端无低电平输入时,输出端才输出相对应的输入端的代码。例如5为0。且优先级别比它高的输入6和输入7均为1时,输出代码为010,这就是优先编码器的工作原理

5.2 锁存器74LS279

原理:在74ls279中,由于4回路中2回路置位端子为两个,所以使用其一时,整理两个置位输入作为1个使用,或将另一个输入固定为“H”使用。另外,作为稍微变化74LS279 的使用方法,也可将3组作为RS锁存器使用,剩余的RS锁存器作为2输入NAND门电路使用,复位输入例如①管脚固定为”L”时其输入为”H”,所以可构成将②和③作为输入,输出为④的2输入NAND,此变换如图2所示。

图5-6 74LS279管脚引线图

5.3中规模集成BCD七段显示译码驱动器
译码与编码是相反的过程,是将二进制代码表示的特定含义翻译出来的过程。能实现译码功能的组合逻辑电路称为译码器。
集成译码器可分为三种,即:二进制译码器、二-十进制译码器和显示译码器。
二进制译码器是将输入的二进制代码的各种状态按特定含义翻译成对应输出信号的电路。也称为变量译码器。若输入端有n位,代码组合就有2n个,当然可译出2n个输出信号。
显示译码器由译码输出和显示器配合使用,最常用的是BCD七段译码器。其输出是驱动七段字形的七个信号,常见产品型号有74LS48、74LS47等。
字符显示器:分段式显示是将字符由分布在同一平面上的若干段发光笔划组成。电子计算器,数字万用表等显示器都是显示分段式数字。而LED数码显示器是最常见的。通常有红、绿、黄等颜色。LED的死区电压较高,工作电压大约1.5~3V,驱动电流为几十毫安。图5-2是七段LED数码管的引线图和显示数字情况。74LS47译码驱动器输出是低电平有效,所以配接的数码管须采用共阳极接法;而74LS48译码驱动器输出是高电平有效,所以,配接的数码管须采用共阴极接法。数码管常用型号有BS201、BS202等。图5-3(a)是共阴式LED数码管的原理图,使用时,公阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动,如图5-3(b)所示。

(a)引线图 (b)七段字形组合情况

图5-2 七段LED数码管

图5-3 共阴式LED数码管的原理图和驱动电路

上面提到,74LS48是输出高电平有效的中规模集成BCD七段显示译码驱动器,它的功能简图和管脚引线图如图5-4所示。其真值表见表5-2所示。

表5-2 74LS48BCD七段译码驱动器真值表
十进制数或功能 输 入 输 出
A3 A2 A1 A0 a b c d e f g
0 1 1 0 0 0 0 1 1 1 1 1 1 1 0
1 1 × 0 0 0 1 1 0 1 1 0 0 0 0
2 1 × 0 0 1 0 1 1 1 0 1 1 0 1
3 1 × 0 0 1 1 1 1 1 1 1 0 0 1
4 1 × 0 1 0 0 1 0 1 1 0 0 1 1
5 1 × 0 1 0 1 1 1 0 1 1 0 1 1
6 1 × 0 1 1 0 1 0 0 1 1 1 1 1
7 1 × 0 1 1 1 1 1 1 1 0 0 0 0
8 1 × 1 0 0 0 1 1 1 1 1 1 1 1
9 1 × 1 0 0 1 1 1 1 1 0 0 1 1
10 1 × 1 0 1 0 1 0 0 0 1 1 0 1
11 1 × 1 0 1 1 1 0 0 1 1 0 0 1
12 1 × 1 1 0 0 1 0 1 0 0 0 1 1
13 1 × 1 1 0 1 1 1 0 0 1 0 1 1
14 1 × 1 1 1 0 1 0 0 0 1 1 1 1
15 1 × 1 1 1 1 1 0 0 0 0 0 0 0
灭灯 × × × × × × 0 0 0 0 0 0 0 0
灭零 1 0 0 0 0 0 0 0 0 0 0 0 0 0
试灯 0 × × × × × 1 1 1 1 1 1 1 1

74LS48的输入端是四位二进制信号(8421BCD码),a、b、c、d、e、f、g是七段译码器的输出驱动信号,高电平有效。可直接驱动共阴极七段数码管, 是使能端,起辅助控制作用。
使能端的作用如下:
(1) 是试灯输入端,当 =0, =1时,不管其它输入是什么状态,a~g
七段全亮;
(2)灭灯输入 ,当 =0,不论其它输入状态如何,a~g均为0,显示管熄灭;
(3)动态灭零输入 ,当 =1, =0时,
如果 =0000时,a~g均为各段熄灭;
(4) 动态灭零输出 ,它与灭灯输入 共用一个引出端。当 =0或 =0且 =1, =0000时,输出才为0。片间
与 配合,可用于熄灭多位数字前后所不需要显示的零。

74LS48功能简图 74LS48管脚引线

6系统调试

把上面所设计的单元电路连接起来可得到整机电路。然后可在印刷电路板上焊接分立元件并进行调
试。在调试的过程中可能会遇到由于逻辑门传输延时的存在而带来的竞争冒险问题 ,主要表现在当按键
大于8 时 ,在连续按键的情况下大约有10 %的可能性误显示为 8 ,

1. 测试使用的主要的仪器和仪表是万用表。
2. 调试电路的方法和技巧是用红黑表笔测试接电源处是否有电压显示,再用表笔分别测试各集成块和电阻,电容的电压,注意用手背触摸一下,检查各仪器是否发热工作,。
3. 测试的数据电压显示为6.69伏等。
4. 调试中出现的故障,原因及排除方法有的电阻焊接为虚焊,原因是检查发生漏洞,排除方法是再焊接;74LS148接地引角8不为零,可能焊连,去掉焊连部分。

7系统功能、指标参数
1)八个抢答按钮电阻R1~R8:由74系列参数Iil<=Iil(max)=1.6mA,所以R>=(Vcc-Vol)/Iil=3k,取(R1~R8)=5k。

8总结与体会

9谢辞

10参考文献
1.康华光.电子技术基础[M].北京:高等教育出版社.1980

你可以参看一下PLC方面的书籍。这个是典型的例子。大部分教科书上都有。


4人抢答器电路图和原理 核心是74LS192 74ls112
4路智力抢答器及原理电路图 原理框图:在数字电路设计的过程中具体的目的如下:1)巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力。2)培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力,并掌握抢答器的基本原理,掌握4D锁存器、计数器、555定时器的工作原理...

四人智力竞赛抢答器的课程设计
哪有答案啊???

设计一个智力竞赛抢答器可同时供8人参加比赛,他们的编号分别是0、1...
抢答开始后,若有某一参赛者首先按下抢答开关时,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 抢答器具有定时抢答功能,且一次抢答的时间可以由主持人设定(如30s)。当主持人启动开始按钮后,要求...

描述下本电路是如何实现四路输入抢答功能的?
2:电路具有回答问题时间控制功能。要求回答问题小于等于100s(显示0——99s)。时间显示采用倒计时方式。当达到限定时间时。发出声响以示警告 3:有清零复位功能 补充:设计5v稳压电源供给电路 实验四 多路智力抢答器 实验目的 1、熟悉智力竞赛抢答器的工作原理 2、掌握抢答电路、优先编码电路、锁存电路、...

八路抢答器课程设计,急用~~~!!!~~~高分
八路智力竞赛抢答器一.设计任务与要求 1.任务和要求抢大器能容纳8名选手,并且给出相应的编号为1、2、3、4、5、6、7、8,为每名选手设置一个按键。为了简化设计,可以利用试验仪上的逻辑电平开关。(这部分要求由我主要负责)设置一个给工作人员清零的开关,以便能开始新的一轮的抢答。为了简化设计,可以利用试验仪...

...在各种形式的智力竞赛中,抢答器作为智力竞赛的评判装置得到了广泛...
切断流过J1的电流,c点的触发电压才能恢复;发光管作为已有按钮按下抢答的标志。R1为发光管的限流电阻,电源可找普通12V,500ma左右的直流电源,可控硅用1A的单向可控硅,成本每一路除连按钮的电线外大约也就7-8元左右,如果到旧货店淘零件,费用更低。screen.width*0.35) this.width=screen.width*...

求四路抢答器电路原理图
原理图:工作原理 抢答器由74LS148、74LS279、74LS48组成,LED显示器 开始时,当支持人按钮还未按是,CLR为0,所以输出Q1~Q4为0;放光二极管全为灭的,当主持人按钮按下时CLR为1,可以输入,谁先抢答,相应的谁的灯亮,利用74LS279和74LS148输出的是cp等于0,锁存其他的,不能使其他的输出。

智力竞赛抢答器
开式中x^6的系数便是取法

多路智力竞赛抢答器国内外研究现状及发展趋势
推荐:应昌牌608型知识竞赛抢答器:集抢答,数显倒计时器,语音提示为一体;二种经典抢答模式供用户选择,中文语音提示模式与音乐提示模式;抢答、答题两种数显到计时功能,时间1-999秒可任意设定;数码管超高亮显示,美观气派。B:高级电子抢答器:通常有一台主机(并配有操作键盘),每位选手配有自动记分...

抢答器为什么一个人按下后其他人再按不起作用,急求原理,明天考试要回 ...
优先表决电路时用来判断哪一个预定状态优先发生电路,如判断赛者谁先达到终点,智力竞赛中谁先抢答等。该电路时用4D触发器和非门组成的,CP脉冲电路由555电路提供,按钮为抢答人按钮,APACE按钮为主持人复位按钮。当无人抢答时,FDSA均为低电平,这时触发器CP端吕然有连续脉冲输入,但74LS175的输出端均...

复兴区18436175873: 多路智力竞赛抢答器 怎么设计? -
迟玲咳嗽: 器件选择: 表1.1器件型号及数量 名称 型号 数量 单片机 STC12C5A60S2 1 电阻 1K 7 电阻 10K 1 电容 0.1UF 7 电容 30P 2 电位器 3296 1 二极管 11 芯片 MAX232 1 键盘 5 数码管 1 芯片 74AC245AN 1 ORG 0000H M: MOV P1, #0FEH MOV P3...

复兴区18436175873: 描述下本电路是如何实现四路输入抢答功能的? -
迟玲咳嗽: vvc:设计一个4人参加的智力竞赛抢答计时器1:当有某一个参赛者下按抢答开关时.相应显示等亮.并伴有声响;且此时抢答器不再接受其他输入信号;2:电路具有回答问题时间控制功能.要求回答问题小于等于100s(显示0——99s)....

复兴区18436175873: 数字电路设计:六人抢答器 -
迟玲咳嗽: 用数字电路实现抢答器 一、设计目标 设计一个带有用户选手按下后,其他用户选手按下无效,同时,响警报、显示是谁按下的.由主持人开关复位的抢答器. 二、 基本功能 我设计的抢答器有如下功能:有人按下时,显示是谁按下的.同时,其...

复兴区18436175873: 多路抢答器的设计与制作 -
迟玲咳嗽: 用EDA做过六路的抢答器设计,自己把这个再改改吧 library ieee;use ieee.std_logic_1164.all; entity liulu isport(set,clk,d1,d2,d3,d4,d5,d6:in std_logic; --定义六个输入一个时钟一个复位q:out std_logic_vector(3 downto 0); --合法抢答时的输出...

复兴区18436175873: 求助 多路智力抢答器的设计 满意加分!!!急!!!!!!!!! -
迟玲咳嗽: 用PLC程序设计还是用电器元件设计? 抢答器应该来说是比较简单的了. 按4路来分吧. 第一路是第一个抢答器用常开开关串联其他3个抢答器的常闭开关,最后接到第一个显示元件上. 第二路是第二个抢答器的常开开关串联其他3个抢答器的常闭开关,最后接到第二个显示元件上. 以下两路以此类推

复兴区18436175873: 请你给学校设计一个智力竞赛抢答器电路,要求供三组使用,任一组按下开关时铃都响, -
迟玲咳嗽: 可以用逻辑电路来做,当然也可以用单片机 .先写出真值表,然后根据真值表写出逻辑表达式,化简后用TTL或CMOS电路搭制,不复杂,学过 数电就完全可以做出来.

复兴区18436175873: 数字电子技术课程设计:八路智力竞赛抢答器的仿真电路图 -
迟玲咳嗽: 下面这个图是五路抢答器的,按照同样的道理多加三个就成了八路抢答器 另一电路 基于4511的八路抢答器:仿真电路3:

复兴区18436175873: 多路智力竞赛抢答器国内外研究现状及发展趋势 -
迟玲咳嗽: 难点啊 1.首先分析抢答器的功能,包括基本功能和扩展功能.基本功能是:设计的多路智力抢答器能同时供8个选手参赛,编号分别为0到7,每个用一抢答按键;给节目主持人一个控制开关,实现系统清零和抢答的开始;具有数据锁存和显示功...

复兴区18436175873: 求一四路抢答器电路图的设计 -
迟玲咳嗽: 数字电子技术课程设计一.课程设计的题目:四人抢答器. 二.设计任务和要求: 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器. 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒.选手抢答时,数...

复兴区18436175873: 三路抢答器数字电路怎么设计希望给出设计过程和数字电路图
迟玲咳嗽: 这有四路 抢答器设计,你可以不用另一路.网址: 数字智力竞赛抢答器的设计 作者:liumin 2007-01-20 10:30:49 标签: 数字智力竞赛抢答器的设计 一、设计目的: 比赛...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网