八路抢答器课程设计,急用~~~!!!~~~~高分

作者&投稿:比供 (若有异议请与网页底部的电邮联系)
八路智能抢答器课程设计,急需!!!高分~~~

可以下一个抢答器软件,然后做一个小型局域网就可以实现。想当年我们办竞赛就是这么做的。

虽然分不高,但能帮助你也还是好的

发过来了
数字抢答器(数字电路)

电子技术课程设计

——————八路智力竞赛抢答器

学院: 华科学院
专业,班级:电气工程及其自动化062203H
姓名 段超
学号: 200622050308
指导老师: 黄庆彩

2008年1月

目录
一 设计任务与要求……………………………………3

二 总体框图……………………………………………3

三 选择器件……………………………………………4

四 功能模块……………………………………………6

五 电路的装配调试……………………………………9

六 心得体会……………………………………………11

八路智力竞赛抢答器

一.设计任务与要求
1.任务和要求
抢大器能容纳8名选手,并且给出相应的编号为1、2、3、4、5、6、7、8,为每名选手设置一个按键。为了简化设计,可以利用试验仪上的逻辑电平开关。(这部分要求由我主要负责)
设置一个给工作人员清零的开关,以便能开始新的一轮的抢答。为了简化设计,可以利用试验仪上的逻辑电平开关。
用LED数码管显示获得优先抢答的选手的编号,一直保持到工作人员清零或1分钟倒记时答题时间结束为止。
用LED数码管显示有效抢答后的1分钟到记时答题时间。
用喇叭发声知识有效抢答及答题时间的结束。(这部分要求由我主要负责)
秒信号不必考虑时间精度,可利用试验仪上所提供的连续脉冲(方波)。
二.总体框图
根据设计任务与要求,我初步将系统分为4大功能模块:主电路、数据采集电路、控制电路和音响电路。可将主电路分为一个十六进制(实现一分钟倒记时答题时间)计数、译码、显示电路;数据采集电路(获得优先抢答选手的编号)分为8路抢答开关、八D数据锁存器、优先编码器、加1电路;控制电路分为锁存控制、倒记时控制、音响控制;音响电路分为单稳态触发器、音振及喇叭电路。以下是我设计的总体框图:如图1所示

图一 总体框图
三.选择器件
整个电路的电子器件有:555定时器,74LS192,74LS148,74LS373,74LS00,74LS04以及若干电容和电阻。我详细介绍一下我所设计的这两个电路中所用到的重要器件(555定时器和 74LS373):
1.555定时器
555 定时器是一种模拟和数字功能相结合的中规模集成器件。555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如下图2:
图2 555定时器的内部电路框图和外引脚排列图
它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为 2VCC /3,A2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置 0,使输出为 0 电平。
2.74LS373
74373八D锁存器为三态输出的8 D透明锁存器, 373的输出端O0-O7可直接与总线相连。当三态允许控制端OE为低电平时,O0-O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0-O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。当LE端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善400mV。
373引出端符号:
   D0~D7-----数据输入端     OE-----三态允许控制端
   LE-----锁存允许端             O0-O7-----输出端

74LS373外部管腿图、真值表、逻辑图,如下图3所示:

图3 74LS373外部管腿图、真值表、逻辑图
真值表中:L——低电平; H——高电平; X——不定态; Q0——建立稳态前Q的电平; G——输入端,与8031ALE连高电平:畅通无阻低电平:关门锁存。图中OE——使能端,接地。当G=“1”时,74LS373输出端1Q—8Q与输入端1D—8D相同;当G为下降沿时,将输入数据锁存。
四.功能模块
根据设计任务与要求,我初步将系统分为4大功能模块:主电路、数据采集电路、控制电路和音响电路。
1.主电路由六十进制计数器和译码、显示电路两部分组成。
2.控制电路由锁存控制和倒计时控制两部分组成。
以上的这两大模块部电路均由我的搭档负责
以下的数据采集电路和音响电路两个模块是由我主要负责,下面我就详细说明我所设计的这两模块:
3.数据采集电路
(1).八路抢答开关
为8位选手提供8个抢答的按钮,这样可以在松开按钮后及时复位,为下次做准备。这部分我利用的是试验仪上的8个逻辑开关,在接电路图的时候,只用一个开关仿真。
(2).八D数据锁存器
采用八D数据锁存器74LS373,抢答前应使锁存允许LE=1,此时允许选手抢答,当有选手抢答有效时,要利用控制电路中的LE=0,使数据被锁存,其它选手就抢答无效了。
(3).优先编码器
采用优先编码器74LS148,因为采用了高速控制电路,因此一旦抢答,立即锁存。
我所设计的控制电路将充分利用74LS148的两个输出信号:选通输出Ys和扩展输出YEX。
以下是我设计的数据采集电路电路图如图4所示:

图4数据采集电路

4.音响电路
(1).单稳态触发器
设音响提示时间为2秒左右,可采用一脉宽为2秒的单稳态触发器实现。
实现单稳态触发器的方法有很多,可以用与非门或者非门电路实现微分型单稳、利用施密特触发器实现单稳、集成单稳等。现采用555定时器实现,注意其脉宽的计算公式为tw=1.1RC.若一个负脉冲触发信号到来,将有效触发单稳态电路产生一个脉宽为2秒的正脉冲。
(2).音频振荡器及喇叭电路
利用555定时器实现频率约1kHz的音频振荡器,因555定时器有较强的功率输出能力,可以直接推动喇叭输出。
当单稳态触发器进入暂稳态产生一个正脉冲时,控制555定时器开始工作,发出响声;当单稳态触发器自动返回稳态后,555定时器清零,不能发声。
以下是我设计的电路图如图5所示:

图5 音响电路
五 电路的装配与调试
1.由图中所示的定时抢答器的总体方框,按时信号的流向分单元装配,逐级级联。
2.我接好电路后,开始检验我的结果,发现当有选手抢答后,没有开始倒计时,经过老师的指正我们找到了原因,并立即改正,实现了要求的结果。
3.我将裁判的开关拨至0再拨回1时,发现是总能在任意时间重新抢答。(与设计要求相符)
4.我检查到,当计数器减到00时,产生了一个负脉冲信号,同时也允许开始新一轮的抢答。(与设计要求相符)
5.最后我检查的是我所设计的音响电路,当我拨动一个选手开关后,音响电路所对应的绿灯开始发光,并开始倒计时。当计数器减到00时,绿灯再次发光提示。(与设计要求相符)
现给出我所设计的总电路图如图6所示:

图6 总电路图

我的仿真结果图如图7所示:

图7 分别是倒计时、数据采集、音响电路的仿真结果

六 心得体会
在设计之前,参考了许多相关的资料。在设计中又参考了以前讲过的四路抢答器的原理图,有了基本的思路。
但着手设计时,又出现了许多未预料到的问题,例如元件的选择:在选择编码器时,是采用普通编码器还是优先编码器。普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱。所以选择了优先编码器。但是74LS系列中众多不同管脚的类型,选择哪个作编码器。经过查找,选择了74LS192,因为想用数字的形式显示抢答者的编号,所以选择了数码显示管,但数码显示管不能直接,数码显示管需要由TTL或CMOS集成电路驱动,所以在TTL还是CMOS集成电路上又进行了比较和选择。最后选择了数显译码器,用它将输出的二进制代码译成相对应的高、低信号,用其作为数码显示管的驱动信号,数码显示管显示出相对应的选手编号。在音响电路中,根据设计需要选择了555定时器。
在一些设计原理上也遇到了许多新问题。发现当电源接通后,无论有无人按按钮都会使音乐集成电路通电发出声响,经同学以及老师的指证,发现导通的原因,并及时的改正。
通过这次八路抢答器的设计,我发现了以往学习中的许多不足,也让我掌握了以往许多掌握的不太牢的知识,感觉学到了很多东西。三周的课程设计,留给我印象最深的是要设计一个成功的电路,必须要有耐性和坚持下去的毅力。在整个电路的安装调试的过程中,花费时间最多的是各个元件电路的连接,电路的细节设计以及连完线路后的检查工作上,其中在连接电路是出现问题比较多,在555元件和74LS192元件的连接的调试的时候出现了问题在老师的指导和讲解下我门有了更深刻的认识,同时对元件的原理的功能了解的更多更深刻。在这次过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当的烦琐,有时花很长时间检查电路故障,分析原因,那时心中就有点灰心,有时还特别想放弃,此时更需要静下心来,更仔细的查找原因。
总之,这次实验过程中我受益匪浅,在摸索我和我的搭档实现了课题所要求的结果。培养了我的设计思维,增加了动手操作的能力。更让我体会到实现电路功能喜悦。

你这样提问太笼统了,是用什么控制器做,还是自己用模拟电路搭建,用CPLD或者单片机这样做都不难,自己写一个控制程序试试。


模电课程设计--基于74LS74_D触发器的四路抢答器
基于74LS74D触发器的四路抢答器1.实验目的利用74LS74D触发器设计供4人用的抢答器,用以判断抢答优先权,并可以实现如下功能:(1)抢答开始之前,主持人按下复位按钮,所有指示灯和数码管均熄灭;(2)主持人宣布开始抢答后,先按下按钮者对应的指示灯点亮,同时数码管显示该选手的序号;(3)此后他...

PLC六路抢答器课程设计
课程设计(论文)题目名称基于PLC的六路抢答器设计课程名称专业方向课程设计学生姓名卢彦学号1241301041系、专业信息工程系、电子信息工程指导教师余建坤2015年6月27日摘要随着我国经济和文化实业的发展,在很多公开竞争场合要求有公正的竞争裁决,诸如证券、股票交易及各种智力竞赛等,因此出现了抢答器。最初的抢答...

课程设计:简易抢答器
简易抢答器的课程设计虽然并不复杂,但对于一些同学来说还是有一定难度的。我们首先需要完成需求分析,找到程序开发需要的硬件,同时掌握开发所需的软件;接下来,我们也需要对于抢答器的工作原理有一定的了解,以保证程序设计思路的顺畅;最后,我们需要在选择完合适的硬件和软件环境之后,进行各种测试,以验证...

数字电子技术课程设计:八路智力竞赛抢答器的仿真电路图
基于4511的八路抢答器:仿真电路3:

求:数字电子技术课程设计,要比较简单的。
一、电路结构图:图一 以下图二、图三为图一的放大图:图二 图三 本制作是一个简易实用的8路数字显示抢答器,图一为该抢答器的核心部分,包括抢答、编码、优先、锁存、数显及复位等电路。所用的原件除集成电路CD4511,还有14只IN4148二极管,一只9014(NPN)三极管;15只电阻,9只按键开关,SB1~SB8...

多路智力竞赛抢答器的设计
为解决这个问题,我们小组准备就本次大赛的机会制作一个低成本但又能满足学校需要的八路数显抢答器。本课程设计是“模拟电子技术”与“数字电子技术”两门课程的综合课程设计。1. 2本课程设计旨在培养学生综合模拟、数字电路知识,解决电子信息方面常见实际问题的能力,掌握一般电子电路设计方法与设计步骤。促使学生积累实际...

数字电路课程设计 设计一个四人抢答器,要求如下:
1、 设计制作一个可容纳4组的数字式抢答器,每组设置一个抢答按钮供抢答者使用。2、 根据数字式抢答器的功能和使用步骤,设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。3、 设计定时电路,声、光报警或音乐片驱动电路。4、 设计控制逻辑电路,启动、复位电路。我的 设计内容 1.设计...

四人智力竞赛抢答器的课程设计
哪有答案啊???

求一份数字电路课程设计,4人抢答器(用Multisim)
2)设计要求 (1)4 名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即...

三路抢答器数字电路怎么设计
四、设计心得:电子课程设计是本学期中唯一的一门课程设计,我们理所当然的要认真对待,本次设计我选择的是数字智力竞赛抢答器的设计,这个课题用到了数字电路方面的知识,通过这次课程设计,使我对与非门以及集成电路有了一定的了解,对课本上的知识有了近一步的掌握。完成本次课程设计的过程,是一个从...

鄂尔多斯市19853614132: 再帮个忙单片机课程设计——八路抢答器的汇编语言程序,急需.... -
智详爱维: 假设P0口接数码管,P1接抢答器开关,P2.0接蜂鸣器 ORG 0000H SJMP STA ORG 0030H STA: MOV SP,#50H CLR EA MOV P0,#0FFH MOV P1,#0FFH LCALL DELAY MAIN1: MOV A,P1 CJNE A,#0FFH,MAIN2 SJMP MAIN1 MAIN2: JB ACC....

鄂尔多斯市19853614132: 求八路抢答器的课程设计报告 -
智详爱维: 题目名称:八路抢答器 XXXXX学院 参赛人员: XXXXXXX摘 要 锁存器输入信号均为同一电平时,控制电路输出控制信号使锁存器打开,这时锁存器输入端的电平送往相应的输出端,当有一输入端电平发生跳变时,其对应输出端电平也随着变...

鄂尔多斯市19853614132: 数字电子技术课程设计:八路智力竞赛抢答器的仿真电路图 -
智详爱维: 下面这个图是五路抢答器的,按照同样的道理多加三个就成了八路抢答器 另一电路 基于4511的八路抢答器:仿真电路3:

鄂尔多斯市19853614132: 八路抢答器课程设计——外观. -
智详爱维: 使用8个按钮,代表8个队伍(8路),然后设计一个数码管显示屏和一个喇叭,当某一路按下按钮的时候,数码管上显示此路序号,同时喇叭发声.

鄂尔多斯市19853614132: 八路抢答器课程设计
智详爱维: 八路智力竞赛抢答器的设计 摘要】 八路智力竞赛抢答器是一个可供八个参赛组进行智力竞赛的电路装置,该装置由主体电路与扩展电路组成.优先编码电路、锁存器、译码电路将参赛组的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路.通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路.本文详细介绍了抢答器的设计方案、功能及在设计过程中所做的改进.

鄂尔多斯市19853614132: 八路声光抢答器课程设计怎么做
智详爱维: 本八路抢答器设计使用方法非常简单,从上述工作原理可知,抢答前只需先将开关K置于2,然后再置于1,即可进行抢答 . 顺便提一下,由于当按钮开关AN0先按下时,数码管显示0,这与我们平时的编号习惯有点不同.本八路抢答器论文中关于...

鄂尔多斯市19853614132: 利用AT89C51 进行 8路抢答器的设计 -
智详爱维: 1.设计一个八路抢答器,可以同时供8命选手参加比赛,分别用8个按钮S0~S7来表示.2.有一个主持人控制电路,由主持人来控制抢答器的运行.3.抢答器具有锁存、定时、显示和报警功能.即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码

鄂尔多斯市19853614132: 推荐一个电子技术课程设计 简单点的,谢谢了 最好配电路图和元器件 -
智详爱维: 比如八路抢答器就很简单,如下:(图片可以到我空间看,这里插入不了那么多张) 一、电路结构图: 图一 以下图二、图三为图一的放大图: 图二 图三本是一个简易实用的8路数字显示抢答器,图一为该抢答器的核心部分,包括抢答、编码...

鄂尔多斯市19853614132: 基于89C51单片机设计的八路抢答器 -
智详爱维:内容: 1、试验目的:了解按键的扫描原理 2、实现现象:同时按键抢答,先按的键有效,其他按键锁死 复位后重新开始抢答 3、硬件要求:按键 LED灯 原理:最先按下按键者...

鄂尔多斯市19853614132: 麻烦谁有八路智能抢答器毕业范文借鉴下 -
智详爱维: 电子技术课程设计——————八路智力竞赛抢答器学院: 华科学院专业,班级:电气工程及其自动化062203H姓名 段超学号: 200622050308指导老师: 黄庆彩2008年1月目录一 设计任务与要求……………………………………3...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网