四人智力竞赛抢答器的课程设计

作者&投稿:自冉 (若有异议请与网页底部的电邮联系)
跪求课程设计《四人智力抢答器》~

相关文档已发你邮箱了!

四路多路智能抢答器设计

前言

关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。
在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。
前言 1
一、实验目的 2
二、实验内容和要求 2
三、设计思路 2
四、电路设计 2
1.电路设计指标 3
2.仿真电路组成 4
(1)四路抢答器原理 4
(2)定时器实现 5
(3)计数电路 6
(4)完整电路 7
五、总结与体会 7
六、参考文献 8


一、实验目的
1.学习智力竞赛抢答器电路的工作原理。
2.学习综合数字电子电路的设计、实现和调试方法。
二、实验内容和要求
设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。
要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。
三、设计思路
可将整个系统分为三个主要模块:抢答鉴别模块QDJB;抢答计时模块JSQ;抢答计分模块JFQ。整个系统的组成框图如图5-5-8所示。

图--1 智力竞赛抢答器系统框图
四、电路设计















图--2

1.电路设计指标
I.本抢答器最多可提供4名参赛选手使用,编号为1~4号,各队分别用一个按钮(S1~S4)控制,并设置一个系统清零和抢答控制开关S5,该开关由主持人控制。
II.抢答器具有数据锁存功能,并将锁存数据用发光二极管指示灯显示出来,同时蜂鸣器发出间歇式声响,主持人清零后,声音提示停止。
III.抢答先后的分辨率为1ms。
IV.开关S5作为清零及抢答控制开关(有主持人控制),当开关S5被按下时,抢答电路清零,松开后则允许抢答,输入抢答信号由抢答按钮的S1~S4实现。
V.有抢答信号输入时,有数码管显示出相应组别的号码。此时再按其他任何一个抢答开关均无效,指示灯依旧保持第一个开关按下时所对应的状态不变。
VI.能完成由主持人控制的30秒倒计时,有抢答信号输入后计时器停止。
VII.能完成定时器复位,启动,暂停/继续计数。
VIII.能完成对每个选手抢答次数的记录,并可复位。
2.仿真电路组成
(1)四路抢答器原理
见图--3

图--3
该电路由四个D触发器、与非门及脉冲触发电路等组成。其中S1,S2,S3、S4为抢答人按钮,S5为主持人复位。74LS175为四D触发器。
无人抢答时,S1~S4均未被按下,1D~4D均为低电平,在555定时器电路产生时钟脉冲作用下,1Q立即变为高电平,对应指示灯X1发光,同时数码管显示为1,将555定时脉冲封锁,此时送给74ls175的CLK端不再有脉冲信号,所以74LS175输出不再变化,其他抢答者再按下按钮也不起作用,从而实现了抢答。若要清除,则由主持人按S5按钮完成,并为下一次抢答做好准备。
(2)定时器实现
①秒脉冲发生器由555定时器和外接元件R1、R2、C构成多谐振荡器。F=1Hz
定时器电路见图--4

图--4
②计数器由两片74LS192同步十进制计数器构成
利用错位输出端BO于下一级DOWN相连
30循环设置为,十位片DCBA=0011 个位片DCBA=0000
③译码及显示电路有译码驱动器74LS48和7段数码管组成
④控制电路
与非门U20A和U21A组成RS触发器,实现计数器复位、计数和保持30
电路如图--5所示

图--5
(3)计数电路
计数器由74LS192构成
置数输入端接地,up端接选手输入信号,当有信号输入是加一,load端接电源和复位开关用于复位,down加开关控制计数器的减法, 电路如图--6

图--7
(4)完整电路
见图--8

图--8
五、总结与体会
本系统是一个可供4人抢答的抢答器,当主持人按下禁止抢答的开关时,抢答被禁止.如果在此期间选手将不能抢答;当控制开关断开时抢答允许,此时若有人抢答,数码显示器将显示抢答者的组数,提示主持人抢答已经完成.
本次设计是本人第一次运用数字电路模拟实际的东西。因而在许多方面都还不熟练,不如说对一些元器件的功能还不完全了解,不能熟练运用,因而不能完全的一次性设计好该电路。不过通过本次的课程设计我学到了学多的知识,学会了Multisim的一些基本使用方法,培养了我们独立思考问题解决问题的能力,加深了我们对数电、模电知识的理解,巩固了我们的学习知识,有助于我们今后的学习。
总之,在这次的课程设计过程中,我收获了很多,即为我的以后学习设计有很大的帮助,也为将来的人生之路做好了一个很好的铺垫。
六、参考文献
①《基于Multisim10的电子仿真 实验与设计》 王连英 北京邮电大学出本社
②《电子技术动手实践》 崔瑞雪 北京航空航天大学出版社
③《数字电子技术基础》 余孟尝 高等教育出版社

用两个JK和一些门电路
原理是将2个JK的CP能过门电路分别接2个抢答器按钮
一旦一人完成抢答就用他的JK上的Q或Q非信号就把另一个人的CP屏掉,用与门或者或门

哪有答案啊???

四路抢答器的PLC程序设计  系统工作原理
1.1 控制要求
  (1)竞赛者若要回答主持人所提问题时,须抢先按下桌上的抢答按钮;
  (2)绿色指示灯亮后,须等主持人按下复位按钮PB5后,指示灯才熄灭;
  (3)如果竞赛者在主持人打开SW1开关10 s内抢先按下按钮,电磁线圈将使彩球摇动,以示竞赛者得到一次幸运的机会;
  (4)如果在主持人打开SW1开关10 s内无人抢答,则必须有声音警示,同时红色指示灯亮,以示竞赛者放弃该题;
  (5)在竞赛者抢答成功后,应限定一定的时间回答问题,根据题目难易可设定时间(如2 min);
  (6)当主持人打开SW2开关后记时开始,如果竞赛者在回答问题时超出设定时限,则红色指示灯亮并伴有声音提示,竞赛者停止回答问题。
----------更多详见: http://www.gkbtob.com/html/jszl/yyfa/4439.html


设计一个智力竞赛抢答器可同时供8人参加比赛,他们的编号分别是0、1...
抢答开始后,若有某一参赛者首先按下抢答开关时,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 抢答器具有定时抢答功能,且一次抢答的时间可以由主持人设定(如30s)。当主持人启动开始按钮后,要求...

请问怎么设计一个三人智力竞赛的抢答器。。。只能用这些器件。最后一个...
答:可以有许多方案,给你三个。可用现有元件变通使用,例如00是与非门,配一个04就是与门,而00的两个输入端连一起也是反相器,20是四输入完全可以作三输入用。优选方案是第一方案,用两片74LS00和一片74LS28就行了,用两片74LS00比分别用74LS00和74LS04各一片连线简洁。见下图:...

论文:声光显示智力竞赛抢答器的设计
工厂、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。在我校举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。为解决这个问题,我们小组准备就本次大赛的机会制作一个低成本但又能满足学校...

智力竞赛抢答器的英文翻译
智力竞赛抢答器 the intelligence competition answering machine

求四人竞赛抢答器设计
这个简单啊,我也在做这个课程设计,1天就搞定了。抢答部分用74ls148,jk触发器(触发器可换,用D和RS也能做,我都做了,仿真没问题)以及74ls248. 定时部分用74ls192,通过预置数进行减计数就行了。发声要用到74ls121,通过它输出的正脉冲(脉宽可调,确定嘟的时间)。具体电路说不清,只能给你...

求一份数字电路课程设计,4人抢答器(用Multisim)
1)设计任务 设计一台可供4 名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由9倒计到0时,无人抢答,蜂鸣器连续响1 秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1 秒,倒计时停止。2)设计要求 (1)4 名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,...

智力竞赛抢答器
开式中x^6的系数便是取法

智力竞赛抢答装置的原理
画一张太麻烦,下载一个吧,如果非要自己设计的,等有时间我再给你画。这个挺好的,集成电路的外部元件很少。哦,做三路的再加一个门电路就可以了,照此可以做多路。

八人智力竞赛抢答器抢答功能实现不了,求帮忙啊
此电路图,除了七段码显示部分有问题外,其余部分都能够正常工作的;这个,你没有从仿真过程看到吗;555振荡器电路,就不说了,频率不必太高,1000Hz就已经足够了。如果仿真没有脉冲信号输出就好好检查了;关于七段码显示部分,建议去掉 U5,U4的A2A1A0输出,各加级反相后,直接送到 U6 对应的CBA端...

智力竞赛抢答器能作弊吗?
当然有啊~~我以前参加的就是不好用,怎么使劲也没用.所以后来看主持人的嘴型(说开始),看要说话了就按,这样说出来后就能抢上...反正提前按了也是按作废处理...不按做什么?不过你说抢答器能不能控制优先接受哪个按钮的信号这个技术上有点问题...没必要呀 ...

牙克石市18931058015: 四人智力竞赛抢答器的课程设计
荤磊复方: 四路抢答器的PLC程序设计系统工作原理 1.1 控制要求 (1)竞赛者若要回答主持人所提问题时,须抢先按下桌上的抢答按钮; (2)绿色指示灯亮后,须等主持人按下复位按钮PB5后,指示灯才熄灭; (3)如果竞赛者在主持人打开SW1开...

牙克石市18931058015: 求数电课程设计报告 四人智能竞赛抢答器 -
荤磊复方: 有74LS175 74ls00*2 74ls20 74LS148 74ls48这些芯片

牙克石市18931058015: 数字电路课程设计 设计一个四人抢答器,要求如下: -
荤磊复方: 我曾经做过一个当时的要求如下 一 设计任务 数字式竞赛抢答器 二 设计条件 本设计基于学校实验室Multisim8.0仿真软件和计算机. 三 设计要求 1、 设计制作一个可容...

牙克石市18931058015: 求一四路抢答器电路图的设计 -
荤磊复方: 数字电子技术课程设计一.课程设计的题目:四人抢答器. 二.设计任务和要求: 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器. 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒.选手抢答时,数...

牙克石市18931058015: 三路抢答器数字电路怎么设计希望给出设计过程和数字电路图
荤磊复方: 这有四路 抢答器设计,你可以不用另一路.网址: 数字智力竞赛抢答器的设计 作者:liumin 2007-01-20 10:30:49 标签: 数字智力竞赛抢答器的设计 一、设计目的: 比赛...

牙克石市18931058015: 描述下本电路是如何实现四路输入抢答功能的? -
荤磊复方: vvc:设计一个4人参加的智力竞赛抢答计时器1:当有某一个参赛者下按抢答开关时.相应显示等亮.并伴有声响;且此时抢答器不再接受其他输入信号;2:电路具有回答问题时间控制功能.要求回答问题小于等于100s(显示0——99s)....

牙克石市18931058015: 数字电子技术课程设计:八路智力竞赛抢答器的仿真电路图 -
荤磊复方: 下面这个图是五路抢答器的,按照同样的道理多加三个就成了八路抢答器 另一电路 基于4511的八路抢答器:仿真电路3:

牙克石市18931058015: 多路智力竞赛抢答器 怎么设计? -
荤磊复方: 器件选择: 表1.1器件型号及数量 名称 型号 数量 单片机 STC12C5A60S2 1 电阻 1K 7 电阻 10K 1 电容 0.1UF 7 电容 30P 2 电位器 3296 1 二极管 11 芯片 MAX232 1 键盘 5 数码管 1 芯片 74AC245AN 1 ORG 0000H M: MOV P1, #0FEH MOV P3...

牙克石市18931058015: 急求一份智力竞赛抢答器的课程设计!!!
荤磊复方: 单片机程序: 学生第一台抢答器: LD X0 OR Y1 ANI X7 MPS ANI Y2 ANI Y3 ANI Y4 ANI Y5 ANI Y6 ANI T0 OUT Y1 MPP ANI X6 OUT Y7 学生第二台抢答器: LD X01 OR Y2 ANI X7 MPS ANI Y1 ANI Y3 ANI Y4 ANI Y5 ANI Y6 ANI T0 OUT Y2 MPP ...

牙克石市18931058015: EDA课程设计!数字式竞赛抢答器!
荤磊复方: <p>LIBRARY IEEE;</p> <p>USE IEEE.STD_LOGIC_1164.ALL;</p> <p>USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p> <p>ENTITY qdq IS </p> <p> PORT ( a1,a2,a3,a4,rest,clk : IN STD_LOGIC; </p> <p> s1 : OUT STD_LOGIC;</p> <p> LED7S: ...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网