4人抢答器电路图和原理 核心是74LS192 74ls112

作者&投稿:石彪 (若有异议请与网页底部的电邮联系)
求四路抢答器电路原理图~

原理图:

工作原理
抢答器由74LS148、74LS279、74LS48组成,LED显示器 开始时,当支持人按钮还未按是,CLR为0,所以输出Q1~Q4为0;
放光二极管全为灭的,当主持人按钮按下时CLR为1,可以输入,谁先抢答,相应的谁的灯亮,利用74LS279和74LS148输出的是cp等于0,锁存其他的,不能使其他的输出。
扩展资料:利用51单片机建立四路抢答器
单片机,当然不只是51,51单片机是一种稍通用型的单片机,通过I/O口的定义,可以实现多种控制功能。
抢答器,原理:如果为四路,当其中任一路控下后,其他几路即失效,结果为第一次按下的,可以用数码管或是LED灯来显示,当然这里只是讲原理与编程,具体可以根据抢答器路数及显示方式更改程序即可。
源程序如下:
/*用的是AT89S52开发板,独立按键接口如下,就用这四路。先按下的用LED灯来显示,对应第一个到第四个LED灯,其他再按无效,如果想再次实现,可手动复位单片机*/
#include
sbit key1=P3^0; //定义按键,根据需要连接线路,如独立按键(4路)
sbit key2=P3^1;
sbit key3=P3^2;
sbit key4=P3^3;
/*void delay(unsigned int cnt) //如果有抖动或是干扰,可以用个小延时去抖
{
while(--cnt);
}*/
void main()
{
bit Flag;
while(!Flag)
{
if(!key1)
{
P1=0xFE;
Flag=1;
}
/*LED灯来显示按下的键,第一个灯,我这里是8位LED灯,即:0111 1111,反过来读数为:1111 1110 即:0xFE *,P1口对应LED灯,给P1赋值*/
else if(!key2)
{
P1=0xFD;
Flag=1;
}
//第二个灯亮
else if(!key3)
{P1=0xFB;Flag=1;}
//第三个灯亮
else if(!key4)
{
P1=0xF7;
Flag=1;
}
//第四个灯亮,意味着第四路首先按下
}
while(Flag); //可以再加个I/O,控制Flag,这样初始化,继续抢答,还可以设计按下时的声音
}

声光显示智力竞赛抢答器:
四路智力竞赛抢答器
http://www.51hei.com/mcu/568.html
智力竞赛抢答器系统介绍
http://www.jzd21.com/Cpzs/JZD010/JZD010_Xtjs/JZD010_Xtjs.htm
声光显示智力竞赛抢答器资料下载网页:
http://www.elecfans.com/soft/courseware/2008/2008120112584.html
供你参考

4路智力抢答器及原理电路图

原理框图:

在数字电路设计的过程中具体的目的如下: 

1)巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力。 

2)培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力,并掌握抢答器的基本原理,掌握4D锁存器、计数器、555定时器的工作原理和使用方法。 

3)通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 

4)学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电子电路实验的基本技能,学会使用Multisim仿真软件。

设计要求 

(1)四组参赛者在进行抢答时(用4组彩灯代表),当抢先者按下面前的按钮时,抢答器能准确地判断出抢先者,并以声、光为标志。要求声响、光亮时间为9秒后自动熄灭。 

(2)抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。 (3)抢答器应具有限时(抢答时间、回答问题时间)的功能。限时档次分别为30秒、60秒、90秒;时间到时应发出声响。同时,时间数据要用数码管显示出来。 

(4)抢答者犯规或违章时,应自动发出警告信号,以提示灯光闪为标志。 (5)系统应具有一个总复位开关。

抢答电路及原理

原理:当主持人按下复位开关时,D触发器的清零端为低电平,使D触发器被强制清零,实现复位。当开始抢答时,D触发器Q非端前一状态为高电平,四个Q非端与在一起为高电平,跟脉冲产生器产生的脉冲信号与处理后送给CLK端,使CLK产生上升沿,使抢答有效,小灯亮有声响,这时四个非Q端与在一起为低电平,跟脉冲信号与处理后使CLK低电平,保持前一状态,从而封锁了其他选手抢答。



简易抢答器
1、设计目的
抢答器电路设计方案很多,有用专用芯片设计的、有用复杂可编程逻辑电路设计的、有用单片机设计制作的、也有用可编程控制器完成的,但由于专用电路芯片通常是厂家特殊设计开发的,一般不易买到或价格较高,用其它方式设计的需要设计者具有相应的理论知识,并要通过仿真器、应用软件、计算机等辅助设备才能验证完成,不利于设计者的设计和制作。
而有些实际竞赛的场合,只要满足显示抢答有效和有效组别即可,故我打算不用所给的参考电路,而用一片74LS373(8位的数据锁存器)来实现此简易抢答器的功能。这是一个显示方式简单、价格低廉、经济实用的抢答器。在要求不高的场合,能完全符合需要。
2、设计要求
(1)、抢答器分为8组,每组序号分别为1、2、3、4、5、6、7、8,按键SB0-SB7分别对应8组,抢答者按动本组按键,组号立即在LED显示器上显示,同时封锁其他组的按键信号。
(2)、系统外设清除键,按动清除键,LED显示器自动清零灭灯。
(3)、数字抢答器定时为30s,通过控制键启动抢答器后,要求30s定时器开始工作,发光二级管点亮。
(4)、抢答者在30s内进行抢答,则抢答有效,如果30s定时到时,无抢答者,则本次抢答无效,系统短暂报警。
(5)、抢答者违规显示。
3、设计原理
3.1 抢答器总体原理框图
如图1所示为总体原理框图。其工作原理为:接通电源后,主持人将开关FW拨到"清零"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始"状态,宣布"开始"抢答器工作。定时器开始倒计时。选手在规定的30s定时时间内抢答时,抢答器完成:优先判断、编号显示、扬声器提示,倒计时显示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
图1 抢答器原理框图
3.2 单元电路设计
3.2.1 抢答器电路
设计电路如图2所示。电路选用1片8位数据锁存器74LS373,8只组别按键开关KEY_1—KEY_8,8组别抢答有效的状态显示发光二极管LED_1—LED8,一个复位按键FW等组成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,对应的LED亮;二是禁止其他选手按键,其按键操作无效。
图2 抢答器电路图
表1为74LS373的逻辑状态表。
图3为MOTOROLA公司的74LS373的封装形式。
表1 74LS373逻辑状态表
图3 74LS373的封装形式
工作过程:
该8路竞赛抢答器,每组受控于一个抢答按键开关,高电平表示抢答有效;
设置主持人控制FW用于控制整个系统清0和抢答有效开始控制的启动。每按下一次复位键FW时,使8D锁存器的控制端G为高电平,若组别按键开关KEY_1—KEY_8中任何一个都没按下,即对应8D锁存器的输入端D均为低电平,则此时8个输出端均为低电平,对应的发光二极管均不点亮,表示抢答者正在准备状态;
按下复位键FW时,8D锁存器的控制端G为高电平,若组别按键开关KEY_1—KEY_8中存在一个或几个处于按下状态,即与之对应的8D锁存器的输入端D为高电平,此时与之对应的8D锁存器的输出端立即为高电平,对应的发光二极管被点亮,表示抢答者违规了;
只有每按下一次复位键FW,并在复位键FW抬起后,抢答才是有效的。
系统具有第一抢答信号鉴别何锁存的功能。在主持人将系统复位并使抢答有效开始后,第一抢答者按下抢答按钮,对应的输入引脚接高电平1。或门电路使三极管VT1基极得到高电位,将8D锁存器的输入信号锁存在了输入端,输入端的信号变化将不再影响输出端。对应点亮的发光二极管指示出第一抢答者的组别。
在显示有效的组别的同时,也可同时采用蜂鸣器警示。
3.2.2 30s定时电路
在30秒内,首先按动序号开关的组号立即被锁存到LED显示器上,与此同时,8D锁存器禁止工作,封锁其他组的按键信号。若定时时间30秒已到而无抢答者,锁定编码器,抢答按键信号无效,同时定时器输出信号,是报警电路发出短暂报警信号,说明本次抢答无效,发光二极管熄灭。
30秒定时电路采用图4所示电路,图中当主持人按下开关时,为30秒计数器送入置数信号,计数器完成置数。当释放开关后,计数器进行递减计数,当计数器为零时,封锁秒脉冲,计数器停止计数,并且封锁74LS373 是按键信号不能再进入,使抢答无效。
图4 定时电路
我又输出了两路BO信号,分别为两个74LS192的借位脉冲信号。通过仿真来观察进位脉冲,以便于外围电路的扩展。
30秒定时电路使用的元件:74LS192、与非门74LS00、开关。如下所示:表2为74LS192逻辑状态表(功能表)。74LS192是十进制同步加法/减法计数器。
表2 74LS192逻辑状态表
3.2.3 秒脉冲产生电路
秒脉冲发生器需要产生一定精度和幅度的矩形波信号。实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可以由单稳态电路构成,可以由施密特触发器构成,也可以由555电路构成等等。
不同的的电路对矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。本设计中由于秒脉冲信号作为计时器的计时脉冲,其精度直接影响计数器的精度,因此要求秒脉冲信号有比较高的精度。一般情况下,要作出一个精度比较高、频率很低的振荡器有一定的难度,工程上解决这一问题的办法是先做一个频率比较高的矩形波振荡器,然后将其输出信号通过计数器进行多极分频,就可以得到频率比较低、精度比较高的脉冲信号发生器,其精度取决于振荡器的精度和分频级数。按照这样的思路设计出图5所示的秒脉冲信号发生器。
图5 秒脉冲信号发生器
3.2.4 报警电路
报警电路采用如图6所示电路,由555定时器和三极管构成。其中555构成多谐振荡器,振荡频率fo=1。43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。
图6 报警电路
4、 设计步骤
4.1用Quartus II v7.1软件仿真
4.1.1抢答器部分仿真
用Quartus II v7.1先创建一个工程文件,在工程文件下建立一个原理图文件,取名为qiang.bdf。画出抢答器部分原理图如图7所示。将电阻、三极管等模拟元器件按其逻辑关系转换为逻辑门电路在Quartus II中实现。
图7 抢答器原理图
从Processing/Start Compilation进行编译,如图8所示编译成功。编译完成显示30 warmings,30个警告可以忽略,继续下一步骤。
图8 抢答器原理图编译成功
通过原理图编译后,建立波形文件,装载入输入/输出信息并设置输入信号,对各INPUT输入端输入相应的信号,进行仿真Processing/Start Simulation,如图9所示抢答器波形图仿真通过。
图9 抢答器波形图仿真成功
抢答仿真结果如图10所示:
图10 抢答器功能仿真
【说明】仿真抢答过程,假设由任一组别先按下抢答键,其余几组分别在之后任一时刻按下。KEY_1在第一时刻输入高电平“1”表示1组先按下,其余各组分别在之后抢答。由仿真结果可以看出LED_1一直保持高电平“1”不变,表示1组别对应的LED灯点亮,获得抢答权。
由此可见,此电路实现了抢答的基本功能。
4.1.2 30s定时电路部分仿真
用Quartus II v7.1软件以同样的方法,画出30s定时电路如图11所示:
图11 30s定时电路
同样,经过原理图编译、仿真,如图12所示:
图12 定时电路编译 图13 定时电路仿真
定时电路仿真结果如下图14所示:
图14 定时电路仿真
【说明】
此电路主要芯片为2片74LS192,是十进制同步加法/减法计数器,所以需要同步时序脉冲的控制,所以输入为1Hz的秒脉冲,以及主持人控制开关FW输入为高电平“1”,使定时电路计数有效,观察输出信号H、L信号(已经大包),分别为高位的4位输出、低位的4位输出,打包成16进制输出,我们可以从仿真电路图中直接看出结果:
30-29-28-27-26………………………………01、00
并且高位借位信号BO1从高电平“1” 低电平“0”,实现了30s定时的功能,输出的借位脉冲可以给报警电路,使蜂鸣器报警。
4.2用Multisim 10软件仿真
图15 抢答器原理图仿真
从仿真图中可以直观地看出当2号组别键按下时,对应的2号LED发光,再当主持人按下复位键J1时,LED灯熄灭,当复位键弹起时,即可以开始抢答。
4.3 用Protel 99se画抢答器部分原理图
如图16所示为用Protel 99se软件画出了抢答器部分电路的原理图。
图17为抢答器电路板
图16 Protel 99se原理图
图16 抢答器电路板
5、设计总结
此简易抢答器的设计通过Quartus II和Multisim 10软件的仿真,证实了其在实际中的运用的正确性和可靠性。完全可以实现任务的要求。并且还有一个独特的功能,就是能够识别出违规抢答的组别,增加了其实用性。
6、心得体会
通过这次课程设计,我学到了很多书本上没有的实际的知识,熟悉了一些元器件、芯片在工程中的灵活运用。在设计及制作过程中,遇到过一些困难。通过上网和去图书馆查资料解决之。并且在网上搜集到了一些元器件及电路的相关资料对以后的学习及工作是很有帮助的。最重要的是我学会了自学的方法,这将使我今后离开学校,踏上社会是相当有帮助的。其次是进一步熟练地掌握和运用了相关的专业软件,提高了我们自身的专业素质。这也是我们工科学生所必须掌握的基础技能。同时也深深的体会到,我们书本上所学的知识和实际的东西相差甚远,我们所不懂的知识还有很多,因此今后我们要更加注重实际方面的锻炼和运用。


请网友帮忙4人抢答器数字逻辑电路
试试我设计的电路,见图:

3人的抢答电路图,要图啊,简单哪种
从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计抢答器的方法。1 抢答器的基本组成及工作原理 1.1 抢答器的组成 抢答器的一般构成框图如图 1.1 所示。它主要由开关阵列电路、触发锁存电路、编码器、 7 段显示器几部分组成。下面逐一给予介绍。图 1.1 抢答器的组成框图 (1)...

请问怎么设计一个三人智力竞赛的抢答器。。。只能用这些器件。最后一个...
答:可以有许多方案,给你三个。可用现有元件变通使用,例如00是与非门,配一个04就是与门,而00的两个输入端连一起也是反相器,20是四输入完全可以作三输入用。优选方案是第一方案,用两片74LS00和一片74LS28就行了,用两片74LS00比分别用74LS00和74LS04各一片连线简洁。见下图:...

二人抢答器电路图
电源电路由电容器C2~C4、电阻器R3~R5、整流桥堆UR、稳压二极管VS和电源指示发光二极管VL组成。定时器电路由计数/分频器集成电路IC、电容器C1、二极管VD2~VD4和电阻器R1、R2、R6组成。其中R1、R2、C1和IC内电路组成时钟振荡器电路,振荡周期(T)由R2和C1的数值决定。控制执行电路由晶体管V、电阻器...

用2个5脚的继电器做成一个双人抢答器电路图 原理 谢谢
其实就是一个继电器互锁电路:

求一份数字电路课程设计,4人抢答器(用Multisim)
并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。(6)可用石英晶体振荡器或者555 定时器产生频率为1Hz 的脉冲信号,作为定时计数器的CP 信号。找到一个设计,可是我这里下不了,你去百度搜“DIY自制四路抢答器,含电路图、元件清单、实物图”百度第一个应该就是,你看看能不能有帮助......

四人抢答器整机工作原理是什么
抢答器是一种优先编码器,需要对上述编码器进行改进:第一,有人按下了开关,其它开关按下应该无效。第二,两人或多人同时按下开关,只能一个有效。那么,该哪一个有效呢?应该对四个开关设置优先级,多个开关同时按下时,输出优先级高的那个开关的序号。以上是抢答器的基本工作原理。若需了解电路原理...

用7400和7410做一个3人表决器,求实际电路图,在线等!
这是三路抢答器电路图,按图装配,希望对你有帮助。

如何用VHDL编写一个五人的智力抢答器
2: 抢答器应该具有数据锁存功能,并将锁存的数据用LED数码管显示出来。当主持人的手指触摸清零探头时,数码管便显示“0”,此后若有参赛选手用手指抢答探头,数码管立即显示出最先动作的选手编号,同时压电陶瓷蜂鸣片的电压波形如下图所示,图中T0可取1ms左右,T1和T2各取0.2秒左右。此外,还要求声响...

求抢答器电路图(三人使用)
这是用数字电路组成的三路抢答器电路。非常简单实用。按图安装即可,希望对你有帮助。

矿区19351199656: 数电四路抢答器 -
泷祝任克: 时间有限,一时之间没有完全做到你所要达到的要求,上传一个基本的电路供您参考.图中F1为四D触发器74LS175,它具有公共置0端和公共CP端,引脚排列见附录;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的四分频电路,F3、F4组成抢答电路中的CP时钟脉冲源,抢答开始时,由主持人清除信号,按下复位开关S,74LS175的输出Q1~Q4全为0,所有发光二极管LED均熄灭,当主持人宣布“抢答开始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出信号锁住其余三个抢答者的电路,不再接受其它信号,直到主持人再次清除信号为止.计时部分请自行思考添加.

矿区19351199656: 请大家帮我设计一个四人抢答器电路图,要具有第一抢答信号的鉴别和锁存功能,请大家帮帮忙哟!!!!!!!!!!!!! -
泷祝任克: 设计一个4人抢答器,的过程如下, 一真值表,五个输入,一个为主持人, 二,用与非门,再用锁存器, 三,用74LS47译码器, 最大的问题是,如何锁存和清0 锁存,是,用锁存器的输出的反,接入一个与非门,再与主持人的输入一起接入一个与非门,当然主持人接下时,为低电平, 清0,同时呢,将主持人的输入再接入,IB基本就是这个过程的了

矿区19351199656: 描述下本电路是如何实现四路输入抢答功能的? -
泷祝任克: vvc:设计一个4人参加的智力竞赛抢答计时器1:当有某一个参赛者下按抢答开关时.相应显示等亮.并伴有声响;且此时抢答器不再接受其他输入信号;2:电路具有回答问题时间控制功能.要求回答问题小于等于100s(显示0——99s)....

矿区19351199656: 数字电路课程设计 设计一个四人抢答器,要求如下: -
泷祝任克: 我曾经做过一个当时的要求如下一 设计任务 数字式竞赛抢答器二 设计条件 本设计基于学校实验室Multisim8.0仿真软件和计算机. 三 设计要求 1、 设计制作一个可容纳4组的数字式抢答器,每组设置一个抢答按钮供抢答者使用. 2、 根据数字...

矿区19351199656: 利用组合逻辑电路制作四人抢答器 -
泷祝任克: 技术要求:如果你是第一个抢答的其他人在抢都没反应.准备材料:电源一个,灯泡四个加一,开关四个,道线恩条.联接方式:四个开关与四个灯泡串联,然后在把所以的并联后在串联第五个灯泡.串联接入电源.处理工作:当你按下开关时接通你的电源,电灯亮起.

矿区19351199656: 数电实验怎样用四D触发器和们电路来设计一个4位抢答器·····有图么 -
泷祝任克: 以前在学校就做过,图现在没有了,思路:任意一个触发器的输出端各自驱动一盏小指示灯的同时再控制其他三组的使能端就可以了,裁判可以控制所有抢答者的使能端

矿区19351199656: 数字电路抢答器设计 -
泷祝任克: 用数字电路实现抢答器 一、设计目标 设计一个带有用户选手按下后,其他用户选手按下无效,同时,响警报、显示是谁按下的.由主持人开关复位的抢答器. 二、 基本功能 我设计的抢答器有如下功能:有人按下时,显示是谁按下的.同时,其...

矿区19351199656: 单片机编写四人抢答器的程序和画出电路图并且解释程序和电路图原理 急急急... -
泷祝任克: 1脚VCC2和8脚VCC1接5V. 2脚X1、3脚X2夹晶振 4脚GND接地 5脚RST接单片机P2.5 6脚I/0接单片机P2.4 7脚SCLK接单片机P2.3 编程的时候要注意这样定义一下. SBIT P2^5=RST_DS1302; SBIT P2^4=IO_DS1302; SBIT P2^3=SCLK_DS1302; 同时可以提供原理图和参考源程序.希望楼主加悬赏采用我的答案.你发email:carlbe@tom.com我会把源代码和图发给你.百度好像能传图,还有源代码加了注释就认为我重复字符太多,不好发.希望百度改进.

矿区19351199656: 求教设计一个4人抢答电路的电路图!急用!在线等!请各位大侠帮忙!谢谢! -
泷祝任克: 给你这个声音报警数字显示8路抢答器电路图,你接4路即可.

矿区19351199656: 求一四路抢答器电路图的设计 -
泷祝任克: 数字电子技术课程设计一.课程设计的题目:四人抢答器. 二.设计任务和要求: 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器. 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒.选手抢答时,数...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网