设计一个8位竞赛抢答器 求c语言程序,thank you

作者&投稿:后祥 (若有异议请与网页底部的电邮联系)
我想请问一下设计一个8位竞赛抢答器电路图以及出语言程序~

#include#define uchar unsigned charuchar table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f};sbit rstkey=P2^2;sbit speaker=P2^0;void delay()//延时约400毫秒{uchar i,j;for(i=0;i<200;i++)for(j=0;j<250;j++);}main(){uchar i,key;P2=0xff;while(1){i=0;P1=table[i];while(1){key=P3;if(key!=0)break;}switch(key){case 0x01:i=1;break;case 0x02:i=2;break;case 0x04:i=3;break;case 0x08:i=4;break;case 0x10:i=5;break;case 0x20:i=6;break;case 0x40:i=7;break;case 0x80:i=8;break;default:break;}P1=table[i];//显示按下抢答器的号P0=key;//显示按下键号的LEDwhile(1){if(rstkey==0)break;//主持人按下复位键则重新开始speaker=~speaker;//否则蜂鸣器报警delay();}}}

我有留下邮箱吧!

代码如下:
i=2;break;
if(key==0)break;250;
sbit rstkey=P2^2;/
case 0x08:break;
case 0x04;200;j++);
default;/
#define uchar unsigned char
uchar table[]={0x3f,0x4f;break,0x06;/
P2=0xff:i=8,0x6d:i=6;
}
switch(key)
{
case 0x01,0x7f};i<
case 0x80;reg51:i=7:i=1:i=3;
void delay()/,0x66;
case 0x40,0x7d:i=4;break;
}
P1=table[i];break;
case 0x10:i=5,0x07,j;
P1=table[i];break;
while(1)
{
i=0;j<break;
case 0x02,0x5b;延时约400毫秒
{
uchar i;
for(i=0;break;
while(1)
{
key=P3,key;
case 0x20;i++)
for(j=0;/.h>break;否则蜂鸣器报警
delay();显示按下键号的LED
while(1)
{
if(rstkey==0)break;/
sbit speaker=P2^0;主持人按下复位键则重新开始
speaker=~speaker#include<显示按下抢答器的号
P0=key;
}
main()
{
uchar i

#include<reg51.h>
#define uchar unsigned char
uchar table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f};
sbit rstkey=P2^2;
sbit speaker=P2^0;
void delay()//延时约400毫秒
{
uchar i,j;
for(i=0;i<200;i++)
for(j=0;j<250;j++);
}
main()
{
uchar i,key;
P2=0xff;
while(1)
{
i=0;
P1=table[i];
while(1)
{
key=P3;
if(key==0)break;
}
switch(key)
{
case 0x01:i=1;break;
case 0x02:i=2;break;
case 0x04:i=3;break;
case 0x08:i=4;break;
case 0x10:i=5;break;
case 0x20:i=6;break;
case 0x40:i=7;break;
case 0x80:i=8;break;
default:break;
}
P1=table[i];//显示按下抢答器的号
P0=key;//显示按下键号的LED
while(1)
{
if(rstkey==0)break;主持人按下复位键则重新开始
speaker=~speaker;否则蜂鸣器报警
delay();
}
}
}


三路抢答器数字电路怎么设计
电子课程设计是本学期中唯一的一门课程设计,我们理所当然的要认真对待,本次设计我选择的是数字智力竞赛抢答器的设计,这个课题用到了数字电路方面的知识,通过这次课程设计,使我对与非门以及集成电路有了一定的了解,对课本上的知识有了近一步的掌握。完成本次课程设计的过程,是一个从无到有的过程,...

用PLC设计4人抢答器,4人抢答按钮为X0~X3,对应灯为Y0~Y3,主持人按钮为X4...
4个回答 #热议# 刘畊宏的健身直播适用于哪些人群? 13213223132123 2011-05-15 知道答主 回答量:1 采纳率:0% 帮助的人:0 我也去答题访问个人页 关注 展开全部 设计题目:四路抢答器的PLC控制设计要求竞赛者若要回答主持人所提问题时,必须先按下桌上的抢答按钮(SB1—SB4)。绿色指示灯亮后,须等...

4人抢答器电路图和原理 核心是74LS192 74ls112
(4)抢答者犯规或违章时,应自动发出警告信号,以提示灯光闪为标志。 (5)系统应具有一个总复位开关。抢答电路及原理 原理:当主持人按下复位开关时,D触发器的清零端为低电平,使D触发器被强制清零,实现复位。当开始抢答时,D触发器Q非端前一状态为高电平,四个Q非端与在一起为高电平,跟脉冲...

怎么在八路智力竞赛抢答器中加入计分电路
加入七段码显示器。

求四人竞赛抢答器设计
这个简单啊,我也在做这个课程设计,1天就搞定了。抢答部分用74ls148,jk触发器(触发器可换,用D和RS也能做,我都做了,仿真没问题)以及74ls248. 定时部分用74ls192,通过预置数进行减计数就行了。发声要用到74ls121,通过它输出的正脉冲(脉宽可调,确定嘟的时间)。具体电路说不清,只能给你...

知识竞赛可以设置哪些环节,例如:必答题,选择题,选答题等,越多越好...
1、主持人宣布比赛规则:如设置的环节及时间限制和得分规则2、比赛开始首先是必答题若干小组或是若干个人分别答一组题,每题4分5题共计20分,每题限时1分钟,答对得4分,答错不得分。3、抢答题:限时抢答共计10题,每题4分,共计40分,得分如上所示4、选答题,若干模块的题,小组自由选择答题,每个模块5题,每题4分...

用软件multsim制作一个课程设计——智力竞赛抢答器
请求作一门课程设计:题目为---智力竞赛抢答器要求: (1)可供10 组参赛队进行抢答,每组一个开关(2)开始进行抢答之后,除第一组抢答者的开关外,其他抢答开关 不起作用。(3)设置一个主持人操作的开关,有复位和开始的功能 ,复位时不能进行 抢答。( 5)主持人 之开关为开始之后,开始抢答,...

用VHDL语言实现四人智力竞赛抢答器的设计,高分寻高人解答
各模块VHDL源代码 1、抢答鉴别模块FENG的VHDL源程序 --feng.vhd LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY FENG IS PORT(CP,CLR:IN STD_LOGIC;Q :OUT STD_LOGIC);END FENG;ARCHITECTURE FENG_ARC OF FENG IS BEGIN PROCESS(CP,CLR)BEGIN IF CLR='0'THEN Q<='0';ELSIF CP'EVENT ...

抢答器电路图
在各种知识竞赛,抢答器是少不了的,这里给大家提供一种制作抢答器的方法,有条件的朋友不妨做一个。 这个抢答器由单片机以及外围电路组成,由于采用单片机,使得外围电路非常简单,但是功能并不比一般的抢答器少。 如下图为抢答器的电路原理图,单片机AT90S1200的PB口的PB7~PB2为输入口,接抢答按键开关,当有某个按键按...

知识竞赛方案
目的:为使员工更加深刻的了解合规,更好的推广合规,特举办此次合规知识竞赛。 时间:比赛时间暂定20xx.5.8中午12:00 地点:公司培训室 参加人员:每部门派3名人员参加,以3人一组为单位,共计8个组 答题方式:题目分必答题,抢答题及备选题,以PPT题库为内容 得分方式:必答题答对一题为1分,答错一题不扣分。抢答题...

大理白族自治州14778073048: 设计一个八位竞赛抢答器 -
仲孙都痔疾: #include<reg51.h>#define uchar unsigned char uchar table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f}; sbit rstkey=P2^2; sbit speaker=P2^0; void delay()//延时约400毫秒 { uchar i,j; for(i=0;i<200;i++) for(j=0;j<250;j++); } main() { uchar i,key;...

大理白族自治州14778073048: 设计要求:以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7 -
仲孙都痔疾: <p>没有邮箱,贴给你吧</p> <p> ORG 0000H</p> <p> JMP BEGIN</p> <p> ORG 0030H</p> <p>TABLE: ; 共阴极数码管显示代码表</p> <p> DB 3FH,06H,5BH,4FH,66H ;12345</p> <p> DB 6DH,7DH,07H,7FH ;6789</p> <p>DELAY: MOV R...

大理白族自治州14778073048: 单片机课程设计——电子抢答器设计 要求:选择51单片机,晶振12MHZ;电子抢答器可供8名选手参赛;
仲孙都痔疾: <p></p> <p> ORG 0000H</p> <p> JMP BEGIN </p> <p> ORG 0030H </p> <p>TABLE: ; 共阴极数码管显示代码表 </p> <p> DB 3FH,06H,5BH,4FH,66H ;12345 </p> <p> DB 6DH,7DH,07H,7FH ;6789 </p> <p>DELAY: MOV R5,#20 </p> <p>...

大理白族自治州14778073048: 利用C语言开发一个“比赛评分系统”. -
仲孙都痔疾: #include float score_average(int* score_list, int score_list_size){ float sum = 0.0; for(int n1=0;n1<score_list_size;n1++) { for(in...

大理白族自治州14778073048: 基于89C51单片机设计的八路抢答器 -
仲孙都痔疾:内容: 1、试验目的:了解按键的扫描原理 2、实现现象:同时按键抢答,先按的键有效,其他按键锁死 复位后重新开始抢答 3、硬件要求:按键 LED灯 原理:最先按下按键者...

大理白族自治州14778073048: 用单片机AT89C51设计十路智力竞赛抢答器怎么设计 -
仲孙都痔疾: P1口按键输入,P0口输出LED8段数码管. 用switch 处理P1口的数据,看是否有键按下,如果有键按下,则进入switch处理函数,看是什么键码,对应键码控制P0口输出对应的数字.最后再来一个while按键释放程序.搞定~!因为你需要用10路,但是P1口直接连接的话只有8路,还有2路接不到.所以在输入给P1前,需要加上一个编码器,可以用74LS148或者74HC148优先编码器,8路的输入经过编码器3路输出给P1口,剩下2路直接与P1口闲置的IO口相连,这样就按键输入OK了

大理白族自治州14778073048: 用c语言编程三个按键对应点亮三个LED灯的程序,AT89S52单片机,最好有原理图,谢谢.急求 -
仲孙都痔疾: 这个也求?用按键点亮LED灯这个是51入门最基础的东西,真心想学的话,买块51的开发板,都带有例程,很快就可以入门的.建议你还是多看看资料把基础的东西学好.推荐你看一下《十天学会单片机和C语言编程》的视频教程对入门还是不...

大理白族自治州14778073048: 求100以内最小的自然数n,使1*1 2*2 3*3 ... n*n>5500 c语言程 -
仲孙都痔疾: #include void main(){ int i,sum=0,flag=0; for(i=1;i<100;i++) { if((sum+=i*i)>5500) { flag=1; break; } } if(flag) ...

大理白族自治州14778073048: 数字电子技术课程设计:八路智力竞赛抢答器的仿真电路图 -
仲孙都痔疾: 下面这个图是五路抢答器的,按照同样的道理多加三个就成了八路抢答器 另一电路 基于4511的八路抢答器:仿真电路3:

大理白族自治州14778073048: 请问哪位高手会verilog - HDL编程EDA设计:五人竞赛抢答器,急急急!!用max - plus2s!用module模块实现 -
仲孙都痔疾: 设计参数: 五人参赛,每人一个按钮. 主持人一个按钮,按下开始,具有复位功能. 先抢中者对应的指示灯亮. 显示抢中者序号. 有人抢答时,蜂鸣2s.设计要求:用拨码开关设定主持人及参赛者按钮. 用红色信号指示灯组L1-L5表示对应参赛者指示灯. 用点阵显示抢中者序号.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网