EDA:将20M的时钟信号分频生成5khz的方波,再将此方波分频生成1khz占空比为50%的方波。用vhdl语言编写.

作者&投稿:经彭 (若有异议请与网页底部的电邮联系)
用555定时器设计一个1KHz的方波振荡器。要求方波的占空比为50%并将1KHz的方波分频为1Hz方波,用74LS390。~

必然是电子1的

参考如下电路:



手打不易,如有帮助请采纳,谢谢!!

下面是n分频器的VHDL描述,你只要将两个分频器串联起来就行了。第一个的分频系数为20MHz/10KHz=2000,第二个的分频系数为10KHz/1KHz=10,再将第一个分频器的输出通过一个D触发器构成的2分频器(将q_n输出端反馈至d输入端,输出端q即为输入端clk的2分频)即可。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity div is
generic(n:integer :=2000);
port (clk:in std_logic;
q:out std_logic);
end div;
architecture behave of div is
signal count :integer range n-1 downto 0:=n-1;
begin
process(clk)
begin
if (clk'event and clk='1' and clk'last_value ='0') then
count<=count-1;
if count>=n/2 then
q<='0';
else
q<='1';
end if;
if count<=0 then
count<=n-1;
end if;
end if;
end process;
end behave;


...一只爬下树走到离树20m处的池塘a处。另一只爬到
设BD高为x,则从B点爬到D点再直线沿DA到A点,走的总路程为x+AD,其中AD= 根号下[(x+10)^2+20]而从C点到A点经过路程(20+10)m=30m,根据路程相同列出方程x+AD =30,解得:x=5,所以这棵树的高度为10+5=15m.故答案为15m.

找个空间做网站!
不错,24日将重新开放申请。演示: http:\/\/faey.freephp.digiro.net\/bbs 5、... 三、支持CGI的...163油田信息港免费空间,20M即开即通支持PHP 申请地址: http:\/\/home.dqt.com.cn\/apply\/rules.htm ...3:dacities.com 免费mysql.php.cgi空间 本回答由提问者推荐 已赞过 已踩过< 你对这个回答的评价...

fm2008妖人 越全越好
罗比尼奥20M都买不来,和他齐名的Diego却只要20M!推荐:★★★☆Tomas Rosicky 26岁个人感觉游戏里把他定位错了,应该是AMC而不是AMLC,中路如鱼得水,边路稍微差了点吧!只要15M!!推荐:★★★☆Luis Jiménez 23岁3M的小牛,任何球队都需要!!!推荐:★★★☆Giuseppe Rossi 20岁曼联在出售他时估计是中了什么邪了!...

电信营业厅有办理无线网卡的吗?多少钱一小时,求解
您好,首先感谢您对中国电信的支持。根据您的描述,有的,电信无线上网卡一般是包月、包季度或包年的,如100元包80小时月卡、300元包240小时季卡。详情请登陆网上营业厅天翼卖场查看,电信宽带免费大提速,4M升8M、12M,8M升20M,20M升50M。您可以就近到各电信营业厅,或者拨打10000号免费提速,详情可登陆...

...cm高的B处有两个猴子其中一只爬下树走向离树20m的池塘C,而另一爬到...
自己先画图,有图更清楚 解:设这棵树高度为(10+x)米。(10+20)^2 =(10+x)^2+20^2 x=5 10+5=15 参考资料:http:\/\/zhidao.baidu.com\/question\/174667595.html?an=0&si=1

神话一共有多少演唱会出了碟??
http:\/\/delphinusd.chinamofile.com\/0500461491543218\/2448087747115491\/2\/CE014DA4430841132A8D85F76FF15...96年神话的第一名成员申彗星在美国求学时,被挑选为这支组合的主唱。队伍在97年11月正式成立。神话与...在歌迷等待数月后,神话推出了第二张专辑《Millenium of Innocent Love》,其中主打歌《T.O.P》是将...

求网球王子技能全资料!100分奖励!
另,求不二对抗白石藏之介时,使用的绝招是……(什么什么守护的)... 要求所有王子的技能资料(青学外...20M渐速来回跑(次) 119 背肌力(KG) 102 握力(KG) 左:42.3 俯卧弓身(CM) 59.5 坐姿体前弯(CM

...设计是有一个正方形ABCD和一个等边三角形PBC构成的,已知PA=20M...
100平方米 AB=BC=CD=DA BC=PB=PC,角PBC=60 AB=PB,ABP=30,角BAP=角APB=75,AP=20 所以AB=10\/cos75 由P点向AB做垂线,垂足为E,三角形APD边AD上的高为AE=20cos75 三角形APD的面积=AD*AE\/2=(10\/cos75)*(20cos75)\/2=100平米 ...

...其中一只爬下树走向离树20m的池塘,而另一只爬到树顶后直扑池塘。如 ...
自己先画图,有图更清楚 解:设这棵树高度为(10+x)米。 (10+20)^2 =(10+x)^2+20^2 x=5 这棵树高度为15米。

槽式电缆桥架的接地要点
(11)在水平、垂直桥架和垂直线槽中敷设线时,应对缆线进行绑扎。4对线电缆以24根为束e69da5e6ba907a6431333337373562,25对或以上主干线电缆、光缆及其它信号电缆应根据缆线的类型、缆径、缆线芯数分束绑扎。绑扎间距不宜大于1.5米,扣间距应均匀,松紧适度。(12)桥架水平敷设时,支撑间距一般为1.5-3m...

汉滨区19590176631: vhdl 20M时钟,如何分频得到921.6K的时钟 -
善蔡清眩: 20MHz的时钟信号,分频至921.6KHz的话,分频系数约为21.70139,如果取21.7的话,分频输出的clkout频率约为921.659KHz.对于分频系数为21.7的分频电路,可以采用将分频器设计成7次22分频加上3次21分频的办法,为了使输出信号抖动小一些,可以这样设计:2次22分频+1次21分频+2次22分频+1次21分频+3次22分频+1次21分频.为了设计描述简洁,可以将22分频电路设计成一个过程,将“2次22分频+1次21分频”设计成另一个过程.在顶层描述中,调用3次“2次22分频+1次21分频”后,再调用一次22分频电路过程就行了.

汉滨区19590176631: eda 怎么产生一个固定频率的时钟信号 -
善蔡清眩: 就是用计数器,240hz时,每一个1/240 产生一个时钟上升沿,这是你的基本时钟,用来计数,记到240时就赋值一次,这个值就是1hz的了,其他的同理,只是计数的个数不一样,10hz就计数24次,16hz就计数15次,如果要得到2.6元计费控制,则要产生一个26hz的频率,240hz不能直接分频到26的,因为他们不是整数倍关系,要先进行倍频再分频,比如倍频13倍,再分频120倍就得到26hz了 追问 我已经研究到了.260HZ可以满足到.你是唯一一个回复这么准确.分给你

汉滨区19590176631: eda秒表程序 -
善蔡清眩: 1. “分分:秒秒”计数器设计 我们要实现“分分:秒秒”显示的电子秒表,需要设计计数频率为1Hz 的 计数器.因为“分分:秒秒”的结构对应有4个十进制数字(个位的秒,十位的 秒,个位的分,十位的分),如果采用统一计数再分别求出...

汉滨区19590176631: EDA,设计一个1000分频的分频器? -
善蔡清眩: 令clk为原始时钟,则1000分频的时钟其行为可以表述成“由clk计数,每计500个脉冲,输出信号clkout翻转一次”.因此,可以用verilog语言实现,代码如下:module clk_divider(clk, rst, clkout); input clk,rst; output clkout; reg clkout; reg [8:0] ...

汉滨区19590176631: eda数字电子钟程序
善蔡清眩: 数码管的扫描显示: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; -字模输出模块 USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SEL IS PORT(CLK:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); --输入选通信号 ...

汉滨区19590176631: 20M时钟如何转换为16M时钟,用的是verilog编程,在FPGA中,有人指点说用锁相环,但具体如何用不清楚,
善蔡清眩: <p>Quartus 里面可以实现:</p> <p></p> <p>I/O里面 ALTPLL 生成一个分频模块,将输入时钟设为20M,输出时钟设为16M</p> <p></p> <p>其他设置,看具体选项,生成一个verilog文件,到时候调用模块即可.</p>

汉滨区19590176631: fpga如何二分频 -
善蔡清眩: FPGA是大规模可编程器件,芯片,主要是门阵列芯片.根据你的硬件程序,它可以“变成”任何电路,CPU,DSP,或者是图形处理器,等等..分频器一般用计数器就可以实现了.2分频,也就是说对原来的时钟计数,每记2个数让新的时钟输出翻转.新的时钟周期不就是原来的2倍么,这就完成了2分频.同理可以实现任意分频,只要用计数器记满你想分频的倍率然后让新时钟输出翻转即可. 翻转的意思是,1变0,0变1.

汉滨区19590176631: 求eda数字钟设计程序 -
善蔡清眩: 1.Topclock(元件例化 顶层文件) Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_arith.all; Use ieee.std_logic_unsigned.all; Entity topclock is Port(clk,clr,en,m1,h1:in...

汉滨区19590176631: 用VHDL语言实现分频,选择哪个时钟实现分频产生1HZ,23HZ,25HZ,28HZ,30HZ -
善蔡清眩: 你试一下用与48.3kHz接近或者成整数倍关系的频率做主频,然后分频.

汉滨区19590176631: 谁能帮我写一个eda六十进制时钟信号的编程(还要有清零端),谢谢各位了. -
善蔡清眩: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt10 is port(clk,rst,en:in std_logic; cq:out std_logic_vector(3 downto 0); cout:out std_logic); end cnt10; architecture behav of cnt10 is begin process(clk,rst,en) variable ...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网