用一个二选一mux和一个inv实现异或 画出原理图,多谢

作者&投稿:悟孟 (若有异议请与网页底部的电邮联系)
请问用一个二选一的选择器喝一个或门如何实现一个异或逻辑?并请请画出数字电路图~

异或
A'S+AS'
二选一
AS+BS'
所以将二选一的A替换为异或的输入A'
将二选一的B替换为异或的输入A

可以不使用或门
非要用或门需要用两个选择器,每个实现一个与逻辑

设计电路尽量选择 CMOS 芯片 。TTL 老器件:二选一选择器 74ls157 、异或门 74ls86 ,157 快一点,差别不大。你直接百度有数据。

异或:a ^ b = y 1^ 0 = 1 0 ^ 0 = 0 0 ^ 1 = 1 1 ^ 1 = 0 b=0时输出 y=a;b = 1时 y=~a。将b作为mux的控制位,两路分别为a和~a,即用一个mux和一个inv实现了异或。

多路转换器的作用主要是用于信号的切换。目前集成模拟电子开关在小信号领域已成为主导产品,与以往的机械触点式电子开关相比,集成电子开关有许多优点,例如切换速率快、无抖动、耗电省、体积小、工作可靠且容易控制等。

但也有若干缺点,如导通电阻较大,输入电流容量有限,动态范围小等。因而集成模拟开关主要使用在高速切换、要求系统体积小的场合。在较低的频段上f<10MHz),集成模拟开关通常采用CMOS工艺制成:而在较高的频段上(f>10MHz),则广泛采用双极型晶体管工艺。


扩展资料:

把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。

工作原理是:给A1A0一组信号 比如1 0 那么就相当于给了一个2进制数字2 也就相当于选通了D2这个输入端,这个时候 输出Y 输出的就是D2的信号;D2是什么,Y就输出什么。

参考资料来源:百度百科-MUX



异或:a ^ b = y 1^ 0 = 1 0 ^ 0 = 0 0 ^ 1 = 1 1 ^ 1 = 0 b=0时输出 y=a;b = 1时 y=~a
所以将b作为mux的控制位,两路分别为a和~a,即用一个mux和一个inv实现了异或

我这边图传不上去,应该很容易明白。

异或:a ^ b = y 1^ 0 = 1 0 ^ 0 = 0 0 ^ 1 = 1 1 ^ 1 = 0 b=0时输出 y=a;b = 1时 y=~a
所以将b作为mux的控制位,两路分别为a和~a,即用一个mux和一个inv实现了异或

我这边图传不上去,应该很容易明白。


关于fpga的基于反熔丝技术的小问题
第二:反熔丝是一次编程FPGA。主要是OTP片较常规SDRAM控制编程的FPGA更加的稳定可靠。成本也较高,一般用于军工产品。第三:你要知道FPGA基于LUT查找表结构的本质是什么!我以前回答过一个问题是理解LUT的,这里提供参考。可以帮你理解LUT的本质和为什么LUT中MUX2阵列能实现需要的逻辑,如何推算LUT的逻辑,...

如何用VHDL语言编写三选一数据选择器
二选一选择器 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX21 IS PORT(A:IN STD_LOGIC;B:IN STD_LOGIC;S:IN STD_LOGIC;Y:OUT STD_LOGIC );END ENTITY MUX21;ARCHITECTURE MUX21A OF MUX21 IS BEGIN PROCESS(S,A,B) BEGIN IF S='0' THEN Y<=A;ELSE Y<=B;END IF;END ...

求VHDL去毛刺解决方案,
二选一多路选择器是一个组合逻辑电路,没有同步时钟,是很难消除毛刺的。从你的仿真波形上看,clk3与clk5是同一棵时钟树上的结点,或者说是由同一个时钟源经过不同的分频器产生的,它们之间存在一定的周期和相位关系。将时钟树上clk3和clk5共同的父结点引入多路选择器的进程:process(clock,sml)begi...

FPGA: failed to route using a CLK template
后面搜到一个和你比较像的例子 http:\/\/forums.xilinx.com\/xlnx\/board\/crawl_message?board.id=Spartan&message.id=1741 你可以参考一下。如果还不会,可以参考 D:\\Xilinx\\10.1\\ISE\\doc\\usenglish\\books\\docs\\virtex5_hdl 里面的文档。里面有讲bufg,虽然你可能用的不是v5的,但是xilinx的fpga...

EDA编程数字钟能进行正常的时、分、秒,小时计时要求为12进制,分和秒...
1.分秒六十进制电路模块count60的VHDL程序 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity wj7157_count60 is port(clk:in std_logic;bcd10,bcd1:buffer std_logic_vector(3 downto 0);present:in std_logic;co:out std_logic);end wj7157_count60;archite...

用一个二选一mux和一个inv实现异或 画出原理图,多谢
异或:a ^ b = y 1^ 0 = 1 0 ^ 0 = 0 0 ^ 1 = 1 1 ^ 1 = 0 b=0时输出 y=a;b = 1时 y=~a。将b作为mux的控制位,两路分别为a和~a,即用一个mux和一个inv实现了异或。多路转换器的作用主要是用于信号的切换。目前集成模拟电子开关在小信号领域已成为主导产品,与以往的...

服务器硬件面试问什么
1、用逻辑们和cmos电路实现ab+cd。2、用一个二选一mux和一个inv实现异或。3、给了reg的setup,hold时间,求中间组合逻辑的delay范围。4、如何解决亚稳态。5、用verilog\/vhdl写一个fifo控制器。6、用verilog\/vddl检测stream中的特定字符串。

数字电路二选一选择器?
二选罩铅丛一 AS+BS'所以将激御二选一的A替换为异或的输入A'将二选一的B替换为异或的输入A 可以不使用或门 非要用或门需要用两个选择器,每个实现一个物樱与逻辑 2选1多路选择器用到了几个管脚设置,分别代表什么意思摆渡沧桑 码龄5年 关注 数字电路基础知识——组合逻辑电路(数据选择器MUX、也...

数字电路基础知识
Select inputs,通过二进制组合实现对Data inputs的选择输出。二选一数据选择器可以用作一个反相器。运算符号:xor,⊕ 逻辑表达式:F = A'B +AB'口诀:相同为0,不同为1 异或门可以由两个2MUX串联实现,前一个实现B的反相,后一个控制B与B'的选择。即:A=0时,F = B;A=1时,F = B'...

甘德县15839513724: 用一个二选一mux和一个inv实现异或 画出原理图,多谢 -
钱花贝感: 异或:a ^ b = y 1^ 0 = 1 0 ^ 0 = 0 0 ^ 1 = 1 1 ^ 1 = 0 b=0时输出 y=a;b = 1时 y=~a.将b作为mux的控制位,两路分别为a和~a,即用一个mux和一个inv实现了异或.多路转换器的作用主要是用于信号的切换.目前集成模拟电子开关在小信号领域已...

甘德县15839513724: 用2选1MUX组成组合逻辑Y=ac+bd选择器可以任意个,但不能有其他逻辑. -
钱花贝感:[答案] 三个二选一选择器租场4选一即可

甘德县15839513724: 一个八选一数据选择器用二选一怎么画 -
钱花贝感: library ieee; use ieee.std_logic_1164.all; entity mux8_1 is port(kd:in std_logic_vector(2 downto 0); input:in std_logic_vector(7 downto 0); output:out std_logic); end; architecture art of mux8_1 is begin process(kd,input) begin if kd="000" then output

甘德县15839513724: 关于fpga的基于反熔丝技术的小问题
钱花贝感: 第一:所谓的MUX是多路复用器.也就是输入输出选择器.这是FPGA常用的逻辑单元. 第二:反熔丝是一次编程FPGA.主要是OTP片较常规SDRAM控制编程的FPGA更加的稳定可靠.成本也较高,一般用于军工产品. 第三:你要知道...

甘德县15839513724: 用一函数inv将一个字符串的字符逆序排列.输入输出在main函数中完成.要求在inv中用指针处理. -
钱花贝感: #include "stdio.h" #include "string.h"char *inv(char str1[]) {int len,i;len=strlen(str1); for(i=0;i<len/2;i++){str1[i]=str1[len-i-1]+str1[i];str1[len-i-1]=str1[i]-str1[len-i-1];str1[i]=str1[i]-str1[len-i-1];} }main() {char *str; printf("input str:");scanf...

甘德县15839513724: 4位2选1多路选择器实现程序verilog语言 -
钱花贝感: module ( input [3:0]A,input [3:0]B,input Sel,output [3:0]D ); assign D = sel ? A : B; endmodule

甘德县15839513724: 关于quartus软件里2选1器件的一个问题 -
钱花贝感: 2fsk调制不知道是什么东西,但是就你这个mux来说,肯定只能选16位,然后你可以在ly那个器件加个8位转16位的东西(比如在前八位补零),这样对于busmux来说输入就都是16位了...

甘德县15839513724: 用8选1数据选择器74LS151设计三输入多数表决电路 -
钱花贝感: 如果三个人对一件事情的通过与否进行表决,则按照经验,如果有两个或两个以上的人通过,则该事情最终被通过.下面我们就用数字电子技术的相关知识制作这么一个表决器.假设通过用高电平“1”来表示,相反,则不通过用低电平“0”来...

甘德县15839513724: 电路设计设计一个二选一电路:要求S=0时,Y=B.S=1时,Y=A.1.列真值表(应该怎么列)2化简3用与非门实现逻辑电路 -
钱花贝感:[答案] 真值表就是把输入端与输出端的对应电平列成一个表. 比如下面就是单独一个三输入端与非门的真值表: S1 S2S3 Y 0001 0011 0101 0111 1001 1011 1101 1110 你说的A和B不知道指什么?是指一个输出端Y的电平高低还...

甘德县15839513724: 编写一个c语言程序,定义一个数组含十个整数,当用户输入选择时执行不同任务(用函数实现插入排序删除增添 -
钱花贝感: #include void insert(int *a, int *p){ int m,i,e; printf("插入位置:(0 scanf("%d", &m); printf("插入元素的值:"); scanf("%d", &e); for(i = *p; i >= m ; --i) a[i] = a[i - 1]; a[m-1] = e; (*p)++; } void sort(int *a, int *p){ int i,j,temp; for(i = 0; i for(j...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网