多路抢答器的设计与制作

作者&投稿:壤茂 (若有异议请与网页底部的电邮联系)
多路智力竞赛抢答器 怎么设计?~

器件选择: 表1.1器件型号及数量 名称 型号 数量 单片机 STC12C5A60S2 1 电阻 1K 7 电阻 10K 1 电容 0.1UF 7 电容 30P 2 电位器 3296 1 二极管 11 芯片 MAX232 1 键盘 5 数码管 1 芯片 74AC245AN 1 ORG 0000H M: MOV P1, #0FEH MOV P3, #00H JNB P2.0, C1 JNB P2.1, C2 JNB P2.2, C3 SJMP M C1: MOV P3, #06H SJMP S1 C2: MOV P3, #5BH SJMP S1 C3: MOV P3, #4FH SJMP S1 S1: NOP SJMP S1 END 这个是三路的抢答器 你再加一路 稍微改一下就成多路的了

思路:
你有这个学习机,应该熟悉和理解这个阵列式键盘的工作过程吧;
把键盘重新定义为席位就是了。如一个4X4的阵列式键盘,有16个键,那么至少可分配给选手12至14个席位;
至于计时器,数码管显示,就是定时器和数码管扫描显示的练习和例程的运用;

用EDA做过六路的抢答器设计,自己把这个再改改吧
library ieee;
use ieee.std_logic_1164.all;
entity liulu is
port(set,clk,d1,d2,d3,d4,d5,d6:in std_logic; --定义六个输入一个时钟一个复位
q:out std_logic_vector(3 downto 0); --合法抢答时的输出
fq:out std_logic_vector(5 downto 0); --非法抢答时的输出
selected: out std_logic); --判断是否有合法抢答信号
end liulu;
architecture liulu of liulu is
signal deny: std_logic; --定义锁存信号
begin
selected<=deny;
process(d1,d2,d3,d4,d5,d6,set)
variable tmp1,tmp3:std_logic_vector(5 downto 0); --定义抢答时中间变量
variable tmp2:std_logic; --定义判断是否有抢答信号的变量
begin
tmp1:=d1 & d2 & d3 & d4 & d5 & d6;
tmp2:=d1 or d2 or d3 or d4 or d5 or d6;
tmp3:=(d1 and clk)&(d2 and clk)&(d3 and clk)&(d4 and clk)&(d5 and clk)&(d6 and clk);
fq<="000000"; --定义非法抢答时输出的初始状态
if set='0' then
deny<='0';
tmp1:="000000";
q<="0000"; --定义合法抢答时输出的初始状态

if tmp2='1' then --判断是否有非法抢答
fq<= tmp3;
end if;
elsif deny='0' then --合法抢答时译码输出
case tmp1 is
when "100000"=>q<="0001" ;deny<='1';
when "010000"=>q<="0010" ;deny<='1';
when "001000"=>q<="0011" ;deny<='1';
when "000100"=>q<="0100" ;deny<='1';
when "000010"=>q<="0101" ;deny<='1';
when "000001"=>q<="0110" ;deny<='1';
when others=>q<="0000";
end case;
end if;
end process;
end liulu;
以前做的,还需按照要求改一下,仅供参考。

路过,凑个热闹,完个任务


PLC六路抢答器课程设计
课程设计(论文)题目名称基于PLC的六路抢答器设计课程名称专业方向课程设计学生姓名卢彦学号1241301041系、专业信息工程系、电子信息工程指导教师余建坤2015年6月27日摘要随着我国经济和文化实业的发展,在很多公开竞争场合要求有公正的竞争裁决,诸如证券、股票交易及各种智力竞赛等,因此出现了抢答器。最初的抢答...

设计一个三路抢答器PLC控制
三路抢答器为A、B、C X1为A路抢答按钮,Y1为A台指示灯,X2为B路抢答按钮,Y2为B台指示灯,X3为C路抢答按钮,Y3为C台指示灯,X4为复位按键,X5为抢答按钮,Y5为答题指示灯,X6为正确按钮,M4为播放音乐,M5为小奖品。(因为问题4,你给的条件太少我只能用辅助继电器M4、M5来表示)T0为两秒计时...

怎样用74LS175实现四路抢答器 ?最好有电路图
望采纳谢谢

四路抢答器电路图
时间有限,一时之间没有完全做到你所要达到的要求,上传一个基本的电路供您参考。图中F1为四D触发器74LS175,它具有公共置0端和公共CP端,引脚排列见附录;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的四分频电路,F3、F4组成抢答电路中的CP时钟脉冲源,抢答开始...

六路抢答器的电路图 越多越好
ct=503316480&z=0&tn=baiduimagedetail&word=%C7%C0%B4%F0%C6%F7%B5%E7%C2%B7%CD%BC&in=27755&cl=2&lm=-1&pn=9&rn=1&di=15994378800&ln=872&fr=&fmq=&ic=&s=0&se=&sme=0&tab=&width=&height=&face=&is=&istype=2#pn9&-1 参考资料:百度图片收索抢答器电路图 第十张 ...

51单片机四路抢答器毕业论文 只要四路
第一章 绪论设计的四路数字抢答器,每路设计一抢答按钮供选手使 用,并设置一个系统清除和抢答控制总开关 S,该开关由主持 人控制。 抢答器具有限时抢答功能,每一轮的限时抢答时间设定为 30 秒。主持人启动"开始"键后,在设定的时间内,参赛选手 进行抢答有效,否则无效。 抢答器具有锁存与显示功能。选手抢答成功则...

plc六路抢答器,硬件接线图,控制流程图
SBO(K)--SB10(B)--KMO(Q)启动按钮,复位按钮 KM1O(K) KM1O(Q)km0(B)--q0(Q)准备抢答灯 SB1(K)---KM1O(K)--KM2(B)--KM3(B)--KM4(B)--KM5(B)--KM6(B)--KM1(Q)KM1(K)SB2(K)---KM1O(K)--KM1(B)--KM3(B)--KM4(B)--KM5(B)--KM6(B)--KM2(...

四路抢答器的电路原理图谁帮忙给个谢谢
该电路为四路抢答器,制作时可根据实际需要随意增减。元器件选择 R1和R2选用1\/4W碳膜电阻器或金属膜电阻器。C选用耐压值为16V的铝电解电容器。VD1一V D4均选用I N4007型整流二极管;V D5一VD8均选用I N4148型硅开关二极管。VL1一VL5均选用Φ8mm或Φ12 mm的高亮度发光二极管,VLl一V L4选...

谁能帮我画出由单片机控制的8路竞赛抢答器的原理图和程序(要求用C语言...
简单的说一下吧,既然是八路,那么有8个LED,8个按键,硬件:8个LED 接P1口,(注意要接上拉电阻,低电平驱动)8个按键接P2口,按键另一端接地。编程:swhich case语句, 8个case语句 判断了哪个按键,注意每次判断需要屏蔽其他口,比如你按了P2.0,那么P1.0亮,P1.1-P1.7都要灭。然后...

急求基于单片机的4路电子抢答器c语言程序
2、实现现象:同时按键抢答,先按的键有效,其他按键锁死 复位后重新开始抢答 3、硬件要求:按键 LED灯 原理:最先按下按键者有效,其他均无效 ---*\/ include<reg52.h> \/\/包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义 sbit key1=P3^0;\/\/定义按键位置 独立按键模式 sbit...

白山市17715352205: 多路抢答器的设计与制作
朝怎伯克: 用EDA做过六路的抢答器设计,自己把这个再改改吧 library ieee; use ieee.std_logic_1164.all; entity liulu is port(set,clk,d1,d2,d3,d4,d5,d6:in std_logic; --定义六个输入一个时钟一个复位 q:out std_logic_vector(3 downto 0); --合法抢答时的输出 fq:...

白山市17715352205: 请高人帮我设计一个多路抢答器. -
朝怎伯克: 用PLC程序设计还是用电器元件设计?抢答器应该来说是比较简单的了.按4路来分吧.第一路是第一个抢答器用常开开关串联其他3个抢答器的常闭开关,最后接到第一个显示元件上.第二路是第二个抢答器的常开开关串联其他3个抢答器的常闭开关,最后接到第二个显示元件上.以下两路以此类推

白山市17715352205: 多路智力竞赛抢答器 怎么设计? -
朝怎伯克: 器件选择: 表1.1器件型号及数量 名称 型号 数量 单片机 STC12C5A60S2 1 电阻 1K 7 电阻 10K 1 电容 0.1UF 7 电容 30P 2 电位器 3296 1 二极管 11 芯片 MAX232 1 键盘 5 数码管 1 芯片 74AC245AN 1 ORG 0000H M: MOV P1, #0FEH MOV P3...

白山市17715352205: 描述下本电路是如何实现四路输入抢答功能的? -
朝怎伯克: vvc:设计一个4人参加的智力竞赛抢答计时器1:当有某一个参赛者下按抢答开关时.相应显示等亮.并伴有声响;且此时抢答器不再接受其他输入信号;2:电路具有回答问题时间控制功能.要求回答问题小于等于100s(显示0——99s)....

白山市17715352205: 数字电路设计:六人抢答器 -
朝怎伯克: 用数字电路实现抢答器 一、设计目标 设计一个带有用户选手按下后,其他用户选手按下无效,同时,响警报、显示是谁按下的.由主持人开关复位的抢答器. 二、 基本功能 我设计的抢答器有如下功能:有人按下时,显示是谁按下的.同时,其...

白山市17715352205: 数字电路课程设计 设计一个四人抢答器,要求如下: -
朝怎伯克: 我曾经做过一个当时的要求如下一 设计任务 数字式竞赛抢答器二 设计条件 本设计基于学校实验室Multisim8.0仿真软件和计算机. 三 设计要求 1、 设计制作一个可容纳4组的数字式抢答器,每组设置一个抢答按钮供抢答者使用. 2、 根据数字...

白山市17715352205: plc 6路抢答器程序设计 -
朝怎伯克: 该抢答器在GOT画面设置主持人开始抢答按钮 、复位按钮和各组得分统计牌;抢答器的抢答按钮、 各组抢得的数码显示、开始指示灯显示、 组号显示 、警示蜂鸣仍用PLC的输入输出口. 此抢答器由主持人操纵,具有定时功能,在10秒内无人抢答表示所有参赛选手对本题弃权,如果定时时间已到,无人抢答,本次抢答无效.抢答器能准确、公正、直观地判断出第1抢答者,通过抢答器的指示灯显示、数码显示和警示蜂鸣等手段指示出第1抢答者. 该程序使用加法运算指令,巧妙地实现了分数累加,充分体现了PLC的优点,这样的控制用传统继电器控制是无法实现的.

白山市17715352205: 三路抢答器数字电路怎么设计希望给出设计过程和数字电路图
朝怎伯克: 这有四路 抢答器设计,你可以不用另一路.网址: 数字智力竞赛抢答器的设计 作者:liumin 2007-01-20 10:30:49 标签: 数字智力竞赛抢答器的设计 一、设计目的: 比赛...

白山市17715352205: 什么是&quot;三路抢答器&quot;?如何设计阿? -
朝怎伯克: 可以用各种东西来设计,我这里交你一个用继电接触器的方法.三路的抢答器:主电路用3个灯(单相并联).控制电路用一个常闭按纽,三个常开按钮,三个中间继电器(因为使用是电流较小,所以使用继电器,不用接触器).每路继电器的...

白山市17715352205: 关于三人抢答器普通电路图设计 -
朝怎伯克: 原发布者:瓯367《数字电子技术实验》之三人抢答器要求:用74LS双D触发器实现三人智力抢答器⒈设置一个主持人开关和三个个人抢答开关.⒉按下主持人开关,个人指示灯灭,并解除对抢答人的封锁.⒊按下个人开关,自身的指示灯亮,同时封锁其他人抢答.图中74LS74芯片标号为:1~1CLR31CLK~1Q6~1PR74LS74D1Q21D5U3B4

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网