六路抢答器的电路图 越多越好

作者&投稿:阚帘 (若有异议请与网页底部的电邮联系)
请绘制6人抢答器的电路图,要求即能知道有人抢答,还能知道谁先抢答。高人来帮帮忙啊~~~


满足你那两个条件~手都累抽抽了~~~~有个地方错了抱歉~就是线圈和指示灯并联~~抱歉丢人了呵呵

你好,我可以的
我的账号
25731 再加上 29610

百度图片上有,下面这个电路只要多并联几个发光管,晶闸管和抢答开关就可以了!后面的那个是音乐片,可以自行选择其他输出,RP是抢答灵敏度调节VD1是3伏稳压管。
如果想带动大的灯泡就把发光管换成光耦,光耦输出可经过PNP三极管后带动12伏继电器。
工作原理:一般情况下9013的基极极是高电平CE极饱和导通,电源经过R1,VD1和RP给基极供电,同时也给抢答开关高电平,抢答开关另一端接晶闸管的触发端。一旦晶闸管触发那么晶闸管触发端的电位就会被降低,然后9013基极失电CE极截止断开,C极则有高电平可供给后级音乐片,音乐片得电后发出“叮咚”抢答声!http://image.baidu.com/i?ct=503316480&z=0&tn=baiduimagedetail&word=%C7%C0%B4%F0%C6%F7%B5%E7%C2%B7%CD%BC&in=27755&cl=2&lm=-1&pn=9&rn=1&di=15994378800&ln=872&fr=&fmq=&ic=&s=0&se=&sme=0&tab=&width=&height=&face=&is=&istype=2#pn9&-1

这里很多
http://image.baidu.com/i?tn=baiduimage&ct=201326592&lm=-1&cl=2&fr=ala0&word=%C1%F9%C2%B7%C7%C0%B4%F0%C6%F7%B5%C4%B5%E7%C2%B7%CD%BC
这个详细介绍
http://www.elecfans.com/article/89/169/2009/20091121110944.html

现在都是电子式的,谁还用晶闸管的?!!!电子显示加自动计时 号码显示 犯规判断。。。。。。。


献县13988932366: 单片机六路抢答器电路图,在线等!!!!!!!!!!!! -
谏顷谓你: 不一定,因为他用的是P0口,P0在作总线时是三态的,如果不停地执行总线写命令,也可以是共阳.如果是共阴的,用10K电阻,LED的亮度应该非常低.他用的4位数码管,其中一路直接接地,应该是不亮的,.这是共阳的接法.问题太多,这个电路基本不能用.

献县13988932366: 数字电路设计:六人抢答器 -
谏顷谓你: 用数字电路实现抢答器 一、设计目标 设计一个带有用户选手按下后,其他用户选手按下无效,同时,响警报、显示是谁按下的.由主持人开关复位的抢答器. 二、 基本功能 我设计的抢答器有如下功能:有人按下时,显示是谁按下的.同时,其...

献县13988932366: 数电的六路抢答器电路图,急!!要multisim仿真的图.1.此抢答器可以容纳六组参赛队,每组设置一个抢答按扭供抢答者使用.设置一个“系统复位”或“... -
谏顷谓你:[答案] 光是数字电路我怕是不行.我可以用单片机实现同样功能.而且开发时间能大大缩短.

献县13988932366: 寻六人抢答器的设计电路图? 可以的话在八人抢答器的设计上改动.
谏顷谓你: <p>给你一个8路抢答器电路图,希望对你有帮助,这是数显、声音报警的双重提示电路,线路简单,调试容易,如果需要6路,空下两路不接就可以了.</p> <p></p>

献县13988932366: 怎样制作最简易的抢答器? -
谏顷谓你: 汗.难道我会做,就一句一句地说,A线连B线,连灯泡,连单片机...你听得明白不.制作方法很容易,用电线,灯泡,烙铁等,按电路图,连接起来.材料详细请见电路图上的... 追问: 那你继续, 电路图发上来 回答: 电路图一般在...

献县13988932366: 抢答器电路图3个人用的 用到单片机芯片.不用太复杂.简单的就行.多少 -
谏顷谓你: 随便弄什么单片机都行,8051、PIC、MSP430、AVR、ARM,还有台湾或者大陆造的好多1块钱左右的单片机,只要IO口够用就行.需要7个IO口:三个接按键、三个接LED、还有一个复位.剩下的都靠程序实现了.

献县13988932366: 6路抢答器设计
谏顷谓你: 估计你的按键还是少了一点,程序并不是很难,主要是扫描按键,扫到键值之后,稍做处理.

献县13988932366: 关于六路抢答器 -
谏顷谓你: 这个从原理上讲是不可能出现的事情.按钮按下的时间绝对是有MS之差的,也就说不存在2个按钮同时按下,那么也就不会有同事亮灯,如果你出现了考虑一下主板是否故障,产品是否漏洞.

献县13988932366: 麻烦谁有八路智能抢答器毕业范文借鉴下 -
谏顷谓你: 电子技术课程设计——————八路智力竞赛抢答器学院: 华科学院专业,班级:电气工程及其自动化062203H姓名 段超学号: 200622050308指导老师: 黄庆彩2008年1月目录一 设计任务与要求……………………………………3...

献县13988932366: 6路抢答器如何设计?
谏顷谓你: http://www.noreal.com.cn/2007/04/qiangdaqi.html这个博客上,博主提供了,我就是在下面下的,上面有好几个方案

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网