四路抢答器电路图

作者&投稿:商尹 (若有异议请与网页底部的电邮联系)
求四路抢答器电路原理图~

原理图:

工作原理
抢答器由74LS148、74LS279、74LS48组成,LED显示器 开始时,当支持人按钮还未按是,CLR为0,所以输出Q1~Q4为0;
放光二极管全为灭的,当主持人按钮按下时CLR为1,可以输入,谁先抢答,相应的谁的灯亮,利用74LS279和74LS148输出的是cp等于0,锁存其他的,不能使其他的输出。
扩展资料:利用51单片机建立四路抢答器
单片机,当然不只是51,51单片机是一种稍通用型的单片机,通过I/O口的定义,可以实现多种控制功能。
抢答器,原理:如果为四路,当其中任一路控下后,其他几路即失效,结果为第一次按下的,可以用数码管或是LED灯来显示,当然这里只是讲原理与编程,具体可以根据抢答器路数及显示方式更改程序即可。
源程序如下:
/*用的是AT89S52开发板,独立按键接口如下,就用这四路。先按下的用LED灯来显示,对应第一个到第四个LED灯,其他再按无效,如果想再次实现,可手动复位单片机*/
#include
sbit key1=P3^0; //定义按键,根据需要连接线路,如独立按键(4路)
sbit key2=P3^1;
sbit key3=P3^2;
sbit key4=P3^3;
/*void delay(unsigned int cnt) //如果有抖动或是干扰,可以用个小延时去抖
{
while(--cnt);
}*/
void main()
{
bit Flag;
while(!Flag)
{
if(!key1)
{
P1=0xFE;
Flag=1;
}
/*LED灯来显示按下的键,第一个灯,我这里是8位LED灯,即:0111 1111,反过来读数为:1111 1110 即:0xFE *,P1口对应LED灯,给P1赋值*/
else if(!key2)
{
P1=0xFD;
Flag=1;
}
//第二个灯亮
else if(!key3)
{P1=0xFB;Flag=1;}
//第三个灯亮
else if(!key4)
{
P1=0xF7;
Flag=1;
}
//第四个灯亮,意味着第四路首先按下
}
while(Flag); //可以再加个I/O,控制Flag,这样初始化,继续抢答,还可以设计按下时的声音
}

给你这个8路抢答器电路图,有数字显示,声光报警装置,电路简单易做。希望对你有帮助。你可以接4路即可。

时间有限,一时之间没有完全做到你所要达到的要求,上传一个基本的电路供您参考。
图中F1为四D触发器74LS175,它具有公共置0端和公共CP端,引脚排列见附录;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的四分频电路,F3、F4组成抢答电路中的CP时钟脉冲源,抢答开始时,由主持人清除信号,按下复位开关S,74LS175的输出Q1~Q4全为0,所有发光二极管LED均熄灭,当主持人宣布“抢答开始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出信号锁住其余三个抢答者的电路,不再接受其它信号,直到主持人再次清除信号为止。
计时部分请自行思考添加。

你很能写哦 写的很详细


求一四路抢答器电路图的设计
(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。

急需PLC控制的四路抢答器的电器原理图及接线图以及其他图纸,请大家帮...
用三菱PLC控制的四路抢答器---电路原理图 (选用PLC输入输出口的多少可设计更多抢答器路数)检验开关:用于检查各路抢答器好坏和枪答的转换开关。闭合检验开关、检验开关开状态指示灯亮,按下任何一个枪答器按钮,对应的枪答器指示灯亮同时枪答声音提示。主持人复位按钮:枪答后复位按钮。

plc六路抢答器,硬件接线图,控制流程图
SBO(K)--SB10(B)--KMO(Q)启动按钮,复位按钮 KM1O(K) KM1O(Q)km0(B)--q0(Q)准备抢答灯 SB1(K)---KM1O(K)--KM2(B)--KM3(B)--KM4(B)--KM5(B)--KM6(B)--KM1(Q)KM1(K)SB2(K)---KM1O(K)--KM1(B)--KM3(B)--KM4(B)--KM5(B)--KM6(B)--KM2(...

高分悬赏!三路抢答器设计,需要电路图!感谢各位高手帮忙,采纳之前会提 ...
看到图了吗

求个Plc程序 要求如下: 请用plc设计一个五人抢答器控制系统,竞赛者若...
10秒后的声光报警都由Y0输出。

简易三路抢答器 课程设计 急,能今天晚上出来的 400分
(5)设定的抢答时间内,选手可以抢答,这时定时器停止工作,显示器上显示选手的号码和抢答时间。并保持到主持人按复位键。(6)当设定的时间到,而无人抢答时,本次抢答无效,扬声器报警发出声音,并禁止抢答。定时器上显示00。二、设计电路方框图:...三、电路组成 本抢答器的电路主要有四部分组成:...

求一个三路抢答器
可以用各种东西来设计,我这里交你一个用继电接触器的方法。三路的抢答器:主电路用3个灯(单相并联)。控制电路用一个常闭按纽,三个常开按钮,三个中间继电器(因为使用是电流较小,所以使用继电器,不用接触器)。每路继电器的线圈上用一常开按钮用于抢答。每个继电器要有自保(在按钮出并一个该...

三路抢答器数字电路怎么设计
增加抢答路数。(2)数码管显示组别键号。(3)自动记分:当主持人分别按步进得分键,递增键或递减键后能够将分值自动累计在某组记分器上。(4)超时报警。(5)其他。3、分析各部分工作原理,绘制电路图,撰写设计报告。三、设计内容:1、仪器设备及元件:电工学实验台、集成电路74LS175、74LS20、74LS00,...

如何设计基于单片机的多功能8路抢答器
扩展电路完成定时抢答的功能。图1图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,...

求基于单片机的抢答器(六路或者八路)的抢答器 原理图 单片机代码
网上搜的,你可以按照这个路径搜索去看全文首页›论坛›嵌入式\/单片机论坛›51单片机基于单片机的八路抢答器设计论文 带英文介绍与汇编语言源码 只看楼主eyexin 楼主2018-4-11 15:57 查看8203 回复0基于AT89C51的八路抢答器摘要抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。

靖州苗族侗族自治县13983187292: 请好心人帮忙做个四人抢答器的电路吧,要带有显示抢答号码的显示、裁判启动控制、有30秒的倒计时、 -
一亭尤脱: 给你这标准8路抢答器电路图,带数字显示,声音报警的,线路简单,可靠.你接4路即可.希望对你有帮助.

靖州苗族侗族自治县13983187292: 4路数字竞赛抢答器设计 -
一亭尤脱: 你好,你说的是四路抢答器吧!我正好是这方面的工作人员,电路图如下网站(这是继电器电路,左端电源要足够大才可以,右边是发光二极管,换成灯一样的 ),给个好评吧....

靖州苗族侗族自治县13983187292: 数电四路抢答器 -
一亭尤脱: 时间有限,一时之间没有完全做到你所要达到的要求,上传一个基本的电路供您参考.图中F1为四D触发器74LS175,它具有公共置0端和公共CP端,引脚排列见附录;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的四分频电路,F3、F4组成抢答电路中的CP时钟脉冲源,抢答开始时,由主持人清除信号,按下复位开关S,74LS175的输出Q1~Q4全为0,所有发光二极管LED均熄灭,当主持人宣布“抢答开始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出信号锁住其余三个抢答者的电路,不再接受其它信号,直到主持人再次清除信号为止.计时部分请自行思考添加.

靖州苗族侗族自治县13983187292: 描述下本电路是如何实现四路输入抢答功能的? -
一亭尤脱: vvc:设计一个4人参加的智力竞赛抢答计时器1:当有某一个参赛者下按抢答开关时.相应显示等亮.并伴有声响;且此时抢答器不再接受其他输入信号;2:电路具有回答问题时间控制功能.要求回答问题小于等于100s(显示0——99s)....

靖州苗族侗族自治县13983187292: 数字电路课程设计 设计一个四人抢答器,要求如下: -
一亭尤脱: 我曾经做过一个当时的要求如下一 设计任务 数字式竞赛抢答器二 设计条件 本设计基于学校实验室Multisim8.0仿真软件和计算机. 三 设计要求 1、 设计制作一个可容纳4组的数字式抢答器,每组设置一个抢答按钮供抢答者使用. 2、 根据数字...

靖州苗族侗族自治县13983187292: 利用组合逻辑电路制作四人抢答器 -
一亭尤脱: 技术要求:如果你是第一个抢答的其他人在抢都没反应.准备材料:电源一个,灯泡四个加一,开关四个,道线恩条.联接方式:四个开关与四个灯泡串联,然后在把所以的并联后在串联第五个灯泡.串联接入电源.处理工作:当你按下开关时接通你的电源,电灯亮起.

靖州苗族侗族自治县13983187292: 求一四路抢答器电路图的设计 -
一亭尤脱: 数字电子技术课程设计一.课程设计的题目:四人抢答器. 二.设计任务和要求: 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器. 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒.选手抢答时,数...

靖州苗族侗族自治县13983187292: 数电实验怎样用四D触发器和们电路来设计一个4位抢答器·····有图么 -
一亭尤脱: 以前在学校就做过,图现在没有了,思路:任意一个触发器的输出端各自驱动一盏小指示灯的同时再控制其他三组的使能端就可以了,裁判可以控制所有抢答者的使能端

靖州苗族侗族自治县13983187292: 求大神做一个51单片机的四路抢答器,要汇编程序,电路图,和分析过程,还有4天答辩, -
一亭尤脱: 有一个八路的发给你吧,供参考 ORG 0000H JMP BEGIN ORG 0030H TABLE: ; 共阴极数码管显示代e68a84e8a2ade79fa5e9819331333332393338码表 DB 3FH,06H,5BH,4FH,66H ;12345 DB 6DH,7DH,07H,7FH ;6789 DELAY: MOV R5,#20...

靖州苗族侗族自治县13983187292: 4路抢答器设计 -
一亭尤脱: 读键盘数...存到30h(随便)...jmp跳转到相应程序,实现对应的等点亮. 主程序,先来一个设置初值的程序,再来一个,数码管减1的程序,减1到0之后跳转长鸣程序.至于主持人的复位...你可以在,长鸣程序了加一个判断,分支一下就ok.不过,还有简单的...直接来个2个周期以上高电平算了.嘿嘿

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网