这是一个7位表决器,可通过数码管显示同意票数,灯亮为票数大于某值,但对它的原理不清楚

作者&投稿:崇信 (若有异议请与网页底部的电邮联系)
verilog中有关显示数码管的问题,很简单~~

就那那种丑丑的七个HEX display来说吧,你给他一个七位长的二级制,七位对应display上的七格,0就是亮,1就是不亮。(有的是反过来的)


http://en.wikipedia.org/wiki/Seven-segment_display
拉倒下面看那个表啦
表里的abcdefg对应的是七个segment

code如下

module SevenSegmentDisplayDecoder(ssOut, nIn);
output reg [6:0] ssOut;
input [3:0] nIn;

// ssOut format {g, f, e, d, c, b, a}

always @(nIn)
case (nIn)
4'h0: ssOut = 7'b0111111;
4'h1: ssOut = 7'b0000110;
4'h2: ssOut = 7'b1011011;
4'h3: ssOut = 7'b1001111;
4'h4: ssOut = 7'b1100110;
4'h5: ssOut = 7'b1101101;
4'h6: ssOut = 7'b1111101;
4'h7: ssOut = 7'b0000111;
4'h8: ssOut = 7'b1111111;
4'h9: ssOut = 7'b1100111;
4'hA: ssOut = 7'b1110111;
4'hB: ssOut = 7'b1111100;
4'hC: ssOut = 7'b0111001;
4'hD: ssOut = 7'b1011110;
4'hE: ssOut = 7'b1111001;
4'hF: ssOut = 7'b1110001;
default: ssOut = 7'b1001001;
endcase
endmodule

高电平="1"或"H",低电平="0"或"L",
数码管只需要显示0和1,又排除U2的悬空输入B C D被误读为"1",先将三个输入加下拉电阻。
又按电路图,待机时(表决前)三个按键J1~J3为"1",而按下=同意="0",
但当三人都不同意,J1~J3="1",如图138真值表红圈情况,U1输入(A,B,C)=(1,1,1) 输出Y7="0",令U3A输出="1"=U2 A输入端,正常显示为1,这个情况已经不符合要求!

74LS161的状态为1111时,再来一个CP脉冲就回0000啦。
至于那个按键,对于实物74LS161确实不起作用,与你分析的相同,不按时,161的CLK为高电平,按下还是高电平。对于multisim仿真是否这样不知道,因没用过。但仿真也应该与实物相符吧。解决办法,在CLK引脚加一个1K的下拉电阻即可,这样,按键按下,就给CLK引脚加一个高电平,产生一个上升沿,等于加一个cp脉冲了。


这是一个7位表决器,可通过数码管显示同意票数,灯亮为票数大于某值,但...
按下还是高电平。对于multisim仿真是否这样不知道,因没用过。但仿真也应该与实物相符吧。解决办法,在CLK引脚加一个1K的下拉电阻即可,这样,按键按下,就给CLK引脚加一个高电平,产生一个上升沿,等于加一个cp脉冲了。

Verilog语言 求下面程序的功能
pass=1; else pass=0 endendmodule 原来的代码实在无力吐槽。我按照开发者的意思重新修改了一下,你可以试着再编译一下,如果再有问题可以找我。这是一个七位表决器,vote信号是7位信号,当七个裁判中有超过3个人投肯定票的时候,sum信号就会变成二进制数2‘b100以上的数字,这时候其最高位su...

用单片机设计7人表决器电路原理图,汇编语言及原理分析。十万火急,求...
这个原理图的话就不用话了吧,很简单的,用七个IO口,然后每个IO口对应接两个按键,总共十四个,两个一组,一个是赞成,一个是反对,分别对应输入高电平或者是低电平,低电平的那个按键一端接单片机,一端接地,搞的那个一端接VCC,一端接单片机,然后再用七个IO口接七个LED灯用来显示结果的,如...

EDA用Quartus II制作 7人表决器
第一部分电路:首先产生S0到S7共8个状态,8个状态无条件循环,实际上就是一个3位计数器。对7个表决输入编号A1到A7。另外设置计数器C,S0状态下若A1=1,则C自加1,否则不变;S1状态下若A2=1,则C继续自加1,否则不变;同理一直到S6状态下,若A7=1,则C自加1,否则不变;在S7状态下,无条...

用VHDL语言设计一个七人表决器。
use ieee.std_logic_1164.all;entity qrbjq is port( a: in std_logic_vector(6 downto 0); --7个人 num: buffer integer range 0 to 7; --表决通过人数 co: out std_logic); --是否通过信号 end;architecture art of qrbjq is begin process(a)variable b: integer range 0 ...

数字电路(7人投票表决器电路图)
用74LS151八选一数据选择器可实现!可把其中一个输入端至低电平!令七个输入端按真值表输入!至少四个为一,输出为一!

表决器是如何制作的?
如果三个人对一件事情的通过与否进行表决,则按照经验,如果有两个或两个以上的人通过,则该事情最终被通过。下面我们就用数字电子技术的相关知识制作这么一个表决器。假设通过用高电平“1”来表示,相反,则不通过用低电平“0”来表示。如下图所示:将地址端A、B、C作为输入端,将输入端D0-D7作为...

表决器的软件作用
7、代表签到:按签到键签到,显示并记录会议应到人数,实到人数。8、表决开始:人工按键开始,可进行倒(正)计时,计时结束时,有声音提醒,人工按键结束,一个议题表决完成。9、表决结果显示:可根据表决过程实时显示,也可在表决结束后显示结果。10、结果显示方式:文字方式,表格方式,柱形图方式。用户...

七人中有一个人具有否决权,怎样修改七人表决器
七人中有一个人具有否决权,修改七人表决器。用for语句七人投票表决器输入modulevoter7(pass,vote)。outputpass。input[6:0]vote。reg[2:0]sum。integeri。regpass。always@(vote)beginsum=0。for(i=0。i<=6。i=i+1)if(vote[i])sum=sum+1。if(sum[2])pass=1。pass=1elsepass=0。

爱迪生资料
发明碳精棒送话器。申请电报自动记录机专利。 1877年,在门罗公园改进了早期由贝尔发明的电话,并使之投入了实际使用。获得三项专利:穿孔笔、气动铁笔和普通铁笔。8月20日发明了被证实为爱迪生心爱的一个项目——留声机。 1878年,爱迪生宣称要解决电照明的问题。英国皇家学会举办留声机展览。改良留声机,设计微音器,...

长海县15380935794: 这是一个7位表决器,可通过数码管显示同意票数,灯亮为票数大于某值,但对它的原理不清楚 -
吉莘盐酸: 74LS161的状态为1111时,再来一个CP脉冲就回0000啦.至于那个按键,对于实物74LS161确实不起作用,与你分析的相同,不按时,161的CLK为高电平,按下还是高电平.对于multisim仿真是否这样不知道,因没用过.但仿真也应该与实物相符吧.解决办法,在CLK引脚加一个1K的下拉电阻即可,这样,按键按下,就给CLK引脚加一个高电平,产生一个上升沿,等于加一个cp脉冲了.

长海县15380935794: 关于三人表决器普通电路图设计 -
吉莘盐酸: 给你一个8路表决器电路图,具有声光数显三种功能.你可接其3路即可,电路简单,一般不需调试.

长海县15380935794: 用单片机设计7人表决器电路原理图,汇编语言及原理分析.十万火急,求高人指点. -
吉莘盐酸: 这个原理图的话就不用话了吧,很简单的,用七个IO口,然后每个IO口对应接两个按键,总共十四个,两个一组,一个是赞成,一个是反对,分别对应输入高电平或者是低电平,低电平的那个按键一端接单片机,一端接地,搞的那个一端接VCC...

长海县15380935794: verilog中有关显示数码管的问题,很简单~ -
吉莘盐酸: 就那那种丑丑的七个HEX display来说吧,你给他一个七位长的二级制,七位对应display上的七格,0就是亮,1就是不亮.(有的是反过来的)http://en.wikipedia.org/wiki/Seven-segment_display 拉倒下面看那个表啦 表里的abcdefg对应的是七...

长海县15380935794: 数字电路(7人投票表决器电路图)
吉莘盐酸: 用74LS151八选一数据选择器可实现!可把其中一个输入端至低电平!令七个输入端按真值表输入!至少四个为一,输出为一!

长海县15380935794: 用VHDL语言设计一个七人表决器. -
吉莘盐酸: library ieee; use ieee.std_logic_1164.all; entity qrbjq is port( a: in std_logic_vector(6 downto 0); --7个人 num: buffer integer range 0 to 7; --表决通过人数 co: out std_logic); --是否通过信号 end; architecture art of qrbjq is begin process(a) variable...

长海县15380935794: EDA编程9表决器 -
吉莘盐酸: //本程序用VERILOG HDL语言实现,描述9人表决器 module biaojueqi(vote,ledr,ledg,dis_out); input [8:0] vote; output ledr,ledg; output [6:0] dis_out; reg [6:0] dis_out; integer i,sum; //sum表示赞同的人数 always @(vote) beginsum for(i=0;i if(vote[i]) ...

长海县15380935794: 汇编语言数码管显示数字 -
吉莘盐酸: 原发布者:sspuww1在第6课里,我们讲到数码管的静态显示,利用静态显示法,通过控制位选和段选,可以让数任意几位数码管显示任意字符,但由于所有位数码管的相同的段选全部接在一起,所以只能同时显示相同的数字,例如8位同时显...

长海县15380935794: 数码管显示数字 -
吉莘盐酸: com口每间隔20ms打开一个,com1---com2---com3---com4----com1 再点亮seg就行了,即送数给显示单元

长海县15380935794: 此电路为单片机小系统的一部分,这个电路是如何完成数码管的显示的?原理是什么? -
吉莘盐酸: 这是单片机数码管显示线路图! 1:这是一个4位的数码管.(有共阴极和共阳极二种) 2;一个8字有7个发光二级管,加一个点.需要八条线路控制.(叫做段码)3;每一个8需要Q1-Q4,四条线路来控制.(叫做位选) 4;74573芯片的功能就不多说了,去网上搜索芯片资料吧.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网