EDA用Quartus II制作 7人表决器

作者&投稿:戎澜 (若有异议请与网页底部的电邮联系)
EDA程序,设计一个7人表决器,用VHDL语言编辑~

上学的时候做过这个实验。
实体做七个二进制输入端。
一个二进制输出

触发条件就是七个输入端都‘or’起来。^_^

判断的时候计算他们当中为‘1’的有几个。多于四,就输出‘1’。少于四就输出‘0’。
写出来很短。现在具体命令还有写法细节上记不清了,不敢乱写。呵呵。

感觉有点熟悉~~不过好像没这么多人的,哈哈,哥的可编程fpga根本就没学懂过~

可以给你提供思路。使用状态机。
第一部分电路:首先产生S0到S7共8个状态,8个状态无条件循环,实际上就是一个3位计数器。对7个表决输入编号A1到A7。另外设置计数器C,S0状态下若A1=1,则C自加1,否则不变;S1状态下若A2=1,则C继续自加1,否则不变;同理一直到S6状态下,若A7=1,则C自加1,否则不变;在S7状态下,无条件将C寄存到另一个寄存器Count里,并且S7返回S0继续无条件循环。
第二部分电路:组合逻辑,将第一部分电路中的Count寄存器通过译码产生7段显示码。数码管按顺时针方向分别是abcdefg,g为中间一横,例如Count为1,则要显示的数据是1,则bc=1,其余为0;若Count为5,则acdfg=1,其余为0;若Count为8,则abcdefg全为1(基于共阴数码管)
程序就自己写吧~


学电子信息工程专业的应具备什么能力?
7,PCB绘制能力:能够熟练使用PROTEL等软件绘制原理图和PCB。8,基本编程能力:能够编写简单的单片机汇编语言或C语言程序,或者在VB,VC下编写简单的小软件,或者编写简单的VHDL\/VerilogHDL程序。9,专业软件操作能力:自己安装并使用过KeilC51,Max+Plus2,Quartus2,ADS,Matlab,EWB,SystemView,...

我的专业是电子信息工程,学校开的科目很多,专业技能怎么写
7,PCB绘制能力:能够熟练使用PROTEL等软件绘制原理图和PCB。8,基本编程能力:能够编写简单的单片机汇编语言或C语言程序,或者在VB,VC下编写简单的小软件,或者编写简单的VHDL\/VerilogHDL 程序。9,专业软件操作能力:自己安装并使用过 KeilC51,Max+Plus2,Quartus2,ADS,Matlab,EWB,SystemView,Labview,...

泗县18214981675: EDA实验,用quartus软件编译一个和:16位二进制数比较器 -
哈鲍昊迪: 不知道以下解答是否满足需要? module comp16(da,db,res);input [15:0] da;input [15:0] db;output [1:0] res; //2'b11: 相等;2'b10: da>db;2'01: da<dbreg [1:0] res;always @*if(da>db)res=2'b10;else if(da==db)res = 2'b11;elseres = 2'b01; endmodule

泗县18214981675: 求EDA用VHDL语言的程序设计,急急急!给高分!(要求在Quartus Ⅱ中完成一个正弦信号发生器,详见提问)
哈鲍昊迪: 在Quartus Ⅱ中完成一个正弦信号发生器的设计.系统可由五部分组成,如下图所示:嵌入式锁相环、分频器、带有清零、使能功能的数据计数器(地址发生器)、存储数据的ROM、D/A和滤波电路. 我是初学者,虽然还不会做这个东西.但是一定不能急,在网上搜也不可能直接得到答案.还是沉下来自己慢慢扣吧,没有量的积累是不会有质的飞跃的.我会分阶段进行:第一步:在百度文库中查各种计数器的资料; 第二步:分频器可用计数器和VHDL直接写出; 第三步:8为DA芯片非常好用,要敢于试验; 第四步:看书查资料直接找相关资料; 网络查资料非常方便,但是结果要靠你自己总结!

泗县18214981675: 利用quartus2做病房呼叫系统 -
哈鲍昊迪: 输入信号可以用优先编码器,然后再用7段码译码器译码到数码管显示.呼叫声可以用555来做,至于储存如果不用单片机的话,我还没有方案.

泗县18214981675: 使用Quartus II 设计一个控制器控制电动机的转动 -
哈鲍昊迪: module motor(minute,clk,out); input [6:0] minute; input clk; output reg [1:0] out;reg [2:0] state; reg [7:0] count_minute; reg [4:0] count_state;always@(posedge clk) begin count_minute<=count_minute+7'd1; end always@(posedge clk) begin case(...

泗县18214981675: EDA中什么情况下可以直接对顶层文件进行编译? -
哈鲍昊迪: QuartusII 是Altera公司开发的功能最强大的PLD编译工具,全面取代MAX+PLUS使用步骤:一、建立工程.1、「File」→「New Project Wizard」开始新工程的建立设置.『NEXT』2、指定project的路径,和project的名称,顶层文件的名称(一般...

泗县18214981675: 本设计采用先进EDA技术,利用QuartusII工作平台及硬件描述语言VHDL,设计一种电子密码锁.设计的密码锁的 -
哈鲍昊迪: 一、系统功能概述 数字密码锁实现:1、了不需要带钥匙,只要记住开锁密码即可开锁的功能.2、在输入密码正确后,还可以修改密码.3、在输入密码的过程中,不显示密码,只显示无规律的提示某位密码是否输入完毕,防止了密码的泄漏,...

泗县18214981675: quartus II连接EDA试验箱设计电子琴,如何实现曲目的切换? -
哈鲍昊迪: 有几首曲目就搞几个lpm_rom,这样简单,每个rom放一首曲目,再搞一个按钮,按一下就切换下一首.假设定义一个寄存器mode,按一下mode加1,同时rom读地址复位(只用一个读地址计数器就行了,给所有的rom地址输入端),假设model从0到3循环,0将手动的数据给播放模块,1~3就分别将三个rom数据给播放模块(用个case语句).你应该是个学生,我说的很详细了.

泗县18214981675: 我是个EDA初学者,我在编写程序后编译成功了,但是仿真却不行,在仿真的时候出现这样一行字: -
哈鲍昊迪: 和代码完全没关系 要用QuartusII仿真当然要有激励文件(vector files) 比如.vwf或者.vcd 有不懂的直接查QuartusII的说明文档就行了 什么人还能比设计公司对产品了解的更清楚呢 如果用Modelsim仿真的话就比较方便 写个testbench就行了 据说QuartusII不支持testbench

泗县18214981675: 如何在quartusII中调用modelsim - altera -
哈鲍昊迪: 1 Altera 官网下载两款软件并安装 ,选择 Quartus II网络版、ModelSim-Altera 入门版.目前这两款软件都是免费的,不需要许可 证,安装简单,使用期限是30天. 【注意】务必记住安装的路径,特别是 ModelSim-Altera 的安装路径. ModelSim...

泗县18214981675: 求quartus2 的 设计实例
哈鲍昊迪:EDA中用VHDL语言设计五人抢答器 1.电路设置有5个抢答按钮A~E,开始抢答控制按钮Start,以及复位按钮RST 2.抢答前或复位时数码管显示为“0”,抢答完成后则显示抢答者的编号“1”~“5” library ieee; use ieee.std_logic_1164.all; use ...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网