74ls138实现全加器

作者&投稿:穆实 (若有异议请与网页底部的电邮联系)

...110-010-011-111-101-100,用74LS161和138设计,并画电路
这个问题很复杂,我最近也在做这个项目。下图仅供参考 我做的是:设计一个汽车尾灯控制电路,汽车尾部左右两侧各有 3 个指示灯(用发光二 极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧 3 个指示灯循环点亮 ;在左转弯时,左侧3 个指示灯顺序点亮 ;在临时刹车或者检测尾灯是否正常时...

用74LS48和74LS138可不可以实现四位一体共阴极数码管的显示
我来告诉你啊 哈哈 只用7个端口就可以。其中四个为段选送74LS48,另外三位接747LS138做位选。汇编代码:如下DISPLAY: ;显示时间控制子程序 MOV A,SECOND ;秒处理 MOV B,#10 DIV AB ;;取秒十位 swap a ;;A高低为转换 ADD A , #00000000B ;;加位码 SW...

74ls153和74ls04设计二进制全减器
先列状态转移图,之后是真值表 减法的是输入A,B,J.输出D=Em(1,2,4,7)Jn+1=Em(1,2,3,7)然后把D和Jn+1从与或非写成与非与非的形式用138输出 这是减法的 加法的同理 用K控制哪一片138工作 这样就OK了 纯手打 记得采纳 用1个138也行 输入......

ls151引脚图是怎样的?
该器件选择八个二进制数据输入中的一个,由地址输入决定。3、如图所示:用138实现序列:用时序信号加入地址端C、B、A,从G1或G2A、G2B输入序列,从G1端输入,在输出得到的是反相信号(Y0~Y7),而从G2A、G2B输入序列则可以在输出得到同相序列信号。4、数据选择器74LS151D的引脚~G的作用是使能控制...

74LS147是什么电路,有何作用?
集成电路74LS147有什么功能 具有十翻二功能。 (2)能完成三位数十进制数到二进制数的转换。(3)能自动显示十进制数及二进制数。(4)移位寄存器选用八位移位寄存器。二进制编码器,与译码器(LS138)相反。功能多,可作双稳态、寄存器、移位寄存器、振荡器、单稳态、分频计数器等功能。 74LS74这个集成块...

用单片机P0端口控制LED数码管,并使用定时器实现 99秒倒计时显示。_百度...
你用什么控制位选,我这有138控制的 \/ 用LS138控制两位数码管显示99~0,利用定时器0延时1分钟 \/ include <reg52.h> include <intrins.h> define uchar unsigned char define uint unsigned int sbit LS138A=P2^2;sbit LS138B=P2^3;sbit LS138C=P2^4;uchar code table[]={ 0x3f,0x06,...

51单片机学习板上的数码管显示HELLO的程序
include<reg52.h> define uchar unsigned char define uint unsigned int sbit LS138A=P2^2;sbit LS138B=P2^3;sbit LS138C=P2^4;uchar code table[]={0x76,0x79,0x38,0x38,0x3f};\/\/ HELLO的断码 void delay(uint z) \/\/延时子函数 { uint x,y;for(x=z;x>0;x--)for(y=10...

求一个单片机交通灯的程序
sbit ls138b=P0^5;sbit ls138c=P0^6;sbit RED_A=P1^0; \/\/东西向灯 sbit YELLOW_A=P1^1;sbit GREEN_A=P1^2;sbit RED_B=P1^3; \/\/南北向灯 sbit YELLOW_B=P1^4;sbit GREEN_B=P1^5;uchar Flash_Count=0,num,num1,time,i,j; \/\/闪烁次数 uchar code table[]={0x3f,0x06,0x...

用VHDL编程实现3-8译码器 求具体程序
这个是带使能端的3-8译码器,输出低电平有效!你可以参考一下!你可以留下联系方式,我把语言与仿真发给你!library IEEE;use IEEE.std_logic_1164.all;entity ls138 is port (A : in std_logic_vector (2 downto 0);S1,S2,S3 : in std_logic;Y : out std_logic_vector (7 downto 0)...

我想做一个交通灯控制电路 可以用74ls160和74hs138来可以实现吗?
减法计数器有74LS168,74LS192,这两个常用。是画仿真图吗?那就随便用什么都行。要是做实物,要看你手里暂时有什么芯片了。用计数器计数后,还要用译码器,可用CD4511,74LS48,可驱动共阴数码管。用74LS247,可驱动共阳数码管。74LS138(不是74hs138)用不上。用数字电路做交通灯,电路比较复杂,...

召霄13162854938问: 用74ls138设计一个全加器 -
乌鲁木齐市爱诺回答:[答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

召霄13162854938问: 用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, -
乌鲁木齐市爱诺回答:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

召霄13162854938问: 用74ls138设计一个全加器 -
乌鲁木齐市爱诺回答: Y4.Y5.Y7 ___________ — — — — Ci=Y3.Y6设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2

召霄13162854938问: 用74LS138和与非门实现全加器 呼呼 电路图啊 接线图啊详细点呗 谢谢哈 -
乌鲁木齐市爱诺回答:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器.全加器有3个输入端:a,b,ci;有2个输出端:s,co.与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7).这里可以把3-8译码器...

召霄13162854938问: 只用两片74LS138设计一个全加器 -
乌鲁木齐市爱诺回答: 不为什么.就是可以做成全加器 用74LS138设 可以构成一位全加器,STb(低电平)和STc(低电平有效)两个接地 STa高电平 A0 A1 A2 为输入 输出公式没法写上来

召霄13162854938问: 求用两片74ls138设计一个全加器的电路图?? -
乌鲁木齐市爱诺回答: 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

召霄13162854938问: 求用两片74ls138设计一个四位全加器的电路图??谢谢 -
乌鲁木齐市爱诺回答: 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?

召霄13162854938问: 用74ls138怎样设计全加器做电路图用什么软件 -
乌鲁木齐市爱诺回答: 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

召霄13162854938问: 如何用集成二进制译码器74LS138和与非门构成全加器 -
乌鲁木齐市爱诺回答: 我这里建议你使用两片74LS138芯片 一片控制s函数 一片控制C函数 由于每片芯片都有三个使能端,你只要每片都选用一个就行了 其余的使能端接地就行了.关键的地方来,使用第一片芯片用于函数S 那么这片芯片的使能端接1 为什么 自己去想.那么如何去判断要使用进位信号呢 这里你就要使用三个 输入 ABC 请你记住 当只有 三个变量中 有两个或两个以上同时为1时 才进位,也就是说 控制C函数的芯片才被激活 好了 具体咋做 自己去设计了哈 我已经给你了讲够明白的了 在电脑上画图 不好画 你自己去画吧!

召霄13162854938问: 设计一位全加器 ,74ls138 +2个四输入与非门构成的全加器,用vhdl语言设计程序. -
乌鲁木齐市爱诺回答: ENTITY adder IS PORT(a,b,c: IN bit; s,c0: OUT bit); END adder; ARCHITECTURE one OF adder IS SIGNAL y_n:bit_vector(7 DOWNTO 0); BEGIN decoder:PROCESS(a,b,c) VARIABLE y:bit_vector(7 DOWNTO 0); BEGIN y := (OTHERS => '1'); ...


本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网