74ls138和74ls20全加器

作者&投稿:暴洋 (若有异议请与网页底部的电邮联系)

74系列芯片资料
74系列芯片资料 反相器 驱动器 LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门 与非门 LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门 或非门 与或非门 LS02 LS32 LS51 LS64 LS65 异或门 比较器 LS86 译码器 LS138 LS139 寄存器 LS74 LS175 LS373 ...

四位一体共阳数码管如何驱动,我现在只有74ls04n(非门)、74hc138、74hc244...
用一个138加一个非门做位选,用proteus仿真确实是不亮的,这毕竟是软件仿真的,不是什么都可能仿真出来的。如果只是为了调试程序,直接接单片机位选就好了,还简单。如果非要用138做位选,那就用四位一体的共阴数码管,138输出端直接到数码管位控端,不再加非门了,但段码要变成1有效,如果是用P0口...

74LS90D和74LS138N有什么不一样?
7490是计数器,而138是译码器,90可以用作多进制计数器,138就三线的译码器,别的不多说了,LZ是工科的吧,去网上搜一下功能表就OK了!

74系列三输入异或门的型号
74136是四输入的。可以用它做一个。也可以用虚拟元件,multisim10中是EOR3就是三输入的,后面还有到8输入的。74HC系列器件是宽工作电压范围的,可以在2~6V电压下工作,工作电压不同,输入输出电平的范围也不一样。7486,74ls86,74s86,74f86,74hc86.74386,74ls386,74hc386,40h386.CD4070,CC4070...

这个用74als138驱动的流水灯电路是否有问题?
用7417取代74ls04,逻辑就对了,每组LED正极与Vcc之间要加一个500欧左右的限流电阻,实验确定阻值。http:\/\/wenku.baidu.com\/view\/059716e79b89680203d8250b.html http:\/\/wenku.baidu.com\/view\/b2ba954d2b160b4e767fcfe0.html

大家帮忙给解释下译码器的原理功能吧?38译码器(74LS154,74HC138)的功能...
用法:通过三位二进制数来控制输出低电平。原理:有三个选通端,只有当选通端为100时138才工作,每一个二进制数对应一个低电平的输出,比如000对应y1(非),其他的同理,需要注意的是在正常工作时,其他的输出都是高电平,只有一个是低电平。

74系列有哪些芯片呢?
74HC系列功能简介 型 号功 能 74HC253双4选1数据选择器(三态)74HC257四2选1数据选择器(三态)74HC2598位可寻址锁存器 74HC266四2输入异或非门(OC)74HC273八D型触发器(带清除端)74HC279四R—S锁存器 74HC2809位奇偶数产生器\/校验器 74HC2834位二进制全加器(带超前进位)74HC2984...

求74LS00 引脚图,逻辑功能,真值表,
00 为四组 2 输入端与非门(正逻辑),共有 54\/7400、54\/74H00、54\/74S00、54\/74LS00四种型号的芯片。

急!!!请问用74LS154译码器可以控制九个LED灯逐一递增点亮吗?是同38译码...
完全可以 154是4-16线译码器 138是3-8译码器 你肯定知道 译码原理相同 只是注意一下使能端即可

74ls151是什么器件?
该器件选择八个二进制数据输入中的一个,由地址输入决定。3、如图所示:用138实现序列:用时序信号加入地址端C、B、A,从G1或G2A、G2B输入序列,从G1端输入,在输出得到的是反相信号(Y0~Y7),而从G2A、G2B输入序列则可以在输出得到同相序列信号。4、数据选择器74LS151D的引脚~G的作用是使能控制...

居伟19871257772问: 用74ls138设计一个全加器 -
建水县洛珂回答:[答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

居伟19871257772问: 求用两片74ls138设计一个全加器的电路图?? -
建水县洛珂回答: 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

居伟19871257772问: 数电组合逻辑实验怎么做?有实物图最好 -
建水县洛珂回答: 设备:数字电子技术试验箱 器件:74LS00,74LS20,74LS86,74LS138,74LS151 三、 实验内容 1. 实现一位全加器 (1) 按照组合逻辑电路的一般设计步骤,用基本门电路(74LS00,74LS86)实现一位全加器; (2) 用1片74LS138和1片74LS...

居伟19871257772问: 用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, -
建水县洛珂回答:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

居伟19871257772问: 全加器的题目,求过程和答案 -
建水县洛珂回答: 全加器:Fn=A撇⊕B撇⊕Ci撇 C0=A撇B撇+Ci撇A撇+Ci撇B撇 则 M1=1,M2=1时,A撇=An,B撇=Bn,Ci撇=Ci M1=1,M2=0时,A撇=An,B撇=Bn,Ci撇=0 M1=0,M2=1时,A撇=An,B撇=1,Ci撇=0 M1=0,M2=0时,A撇=1,B撇=Bn,Ci撇=0 (一个数与1异或是他的反,与0异或是它本身不变) 分别对A撇、B撇、Ci撇列卡诺图(省略),得 A撇=M1非M2非+An B撇=M1非M2+Bn Ci撇=M1M2Ci 然后都转化成或非形式,画成逻辑图就行了

居伟19871257772问: 用74ls138设计一个全加器 -
建水县洛珂回答: Y4.Y5.Y7 ___________ — — — — Ci=Y3.Y6设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2

居伟19871257772问: 可否采用一片2线 - 4线译码器74LS139或一片3线 - 8线译码器74LS138设计一个全加器.为什么? -
建水县洛珂回答: 不为什么.就是可以做成全加器 用74LS138设 可以构成一位全加器,STb(低电平)和STc(低电平有效)两个接地 STa高电平A0 A1 A2 为输入 输出公式没法写上来

居伟19871257772问: 如何用集成二进制译码器74LS138和与非门构成全加器 -
建水县洛珂回答: 我这里建议你使用两片74LS138芯片 一片控制s函数 一片控制C函数 由于每片芯片都有三个使能端,你只要每片都选用一个就行了 其余的使能端接地就行了.关键的地方来,使用第一片芯片用于函数S 那么这片芯片的使能端接1 为什么 自己去想.那么如何去判断要使用进位信号呢 这里你就要使用三个 输入 ABC 请你记住 当只有 三个变量中 有两个或两个以上同时为1时 才进位,也就是说 控制C函数的芯片才被激活 好了 具体咋做 自己去设计了哈 我已经给你了讲够明白的了 在电脑上画图 不好画 你自己去画吧!

居伟19871257772问: 求用两片74ls138设计一个四位全加器的电路图??谢谢 -
建水县洛珂回答: 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?

居伟19871257772问: 用74LS138和与非门实现全加器 呼呼 电路图啊 接线图啊详细点呗 谢谢哈 -
建水县洛珂回答:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器.全加器有3个输入端:a,b,ci;有2个输出端:s,co.与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7).这里可以把3-8译码器...


本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网