用74ls138实现全加器

作者&投稿:辉卓 (若有异议请与网页底部的电邮联系)

试用译码器74LS138和与非门电路实现逻辑函数:L=AB+AC+BC?
L=AB+AC+BC=ABC+A'BC+AB'C+ABC'。

用3线—8线译码器(74LS138芯片).四输入与非门实现"三个开关控制一个灯...
用3线—8线译码器(74LS138芯片)四输入与非门实现三个开关控制一个灯的电路:全加器真值表:00000;00110;01010;01101;10010;10101;11001;11111。故有Si和Ci的表达式分别为:Si=A’B’C+A’BC’+AB’C’+ABC Ci=A’BC+AB’C+ABC’+ABC 故74138的连接图为:下面的地址输入端:A2...

用3线-8线译码器74LS138和与非门实现下列函数: 1,F1(A,B,C)=∑m(2...
74LS138是3线-8线译码器,引脚逻辑功能如下 脚逻辑 1 输入C 2 输入B 3 输入A 15 输出m0'14 输出m1'13 输出m2'12 输出m3'11 输出m4'10 输出m5'9 输出m6'7 输出m7'.选片脚6接高电平,4、5接低电平。输入A、B、C分别接3、2、1脚。.F1=m2+m4+m5+m7=(m2'm4'm5'm7')'可用一只4...

利用74LS138设计一个三人表决器?
1表示赞成,0表示否定。011 101 110 111四种情况表决通过。A B C代表3个人,然后简化。或:Sa,Sb,Sc为三裁判按键,按下=1通过,S为开始键 真值表中绿色圈为通过组合,通过後LED亮。138译码器的ABC做为输入端,Y3,Y5,Y6,Y7连在一个与非门上,令其输出为Y,若Y为高电频,则表决通过,...

用集成二进制译码器74LS138和与非门74LS20实现逻辑函数F1、F2,要求...
Y1 = A' B' + ABC’;把74LS138的三个输入选择信号对应为 A、B、C;则C B A 输出 0 0 0 --- y0=0 1 0 0 --- y4=0 0 1 1 --- y3=0 Y1 = A' B' + ABC’ = A' B' C' + A' B' C + ABC’;==> Y1 = y0' + y4' + y3' =(y0 y4 y3)' ;也就是...

用74LS138实现数据分配器和其正常的译码工作有何不同?
就74LS138,它的主要应用是译码,8个输出端为0有效,用于低电平选片。还有一种电路叫数据选择器,是多选一的作用。那么,数据选择器的反向选择怎么实现呢,即把一个数据分别送给多个输出端,于是想到用74LS138,并叫数据分配器,其实这是138的“兼职”,可以实现数据分配的作用,但却没有多大的应用价值,...

用74LS138实现组合逻辑电路时,从真值表得到函数式需要化简吗?为什么...
用74LS138实现组合逻辑电路时,从真值表得到函数式需要化简吗?不需要。为什么?138 搭配与非门,正好与函数式完美契合。函数式化简后,反而不能用了。

如何利用74ls138和相应逻辑门实现函数
F函数有5个最 小项,所以, 74HC138要配合8输入的与非门74HC30实现逻辑函数。接74HC138的5个输出端,Y0,Y2,Y4,Y5,Y7。逻辑图如下

用一片74ls138和一片74LS20实现单"1"检测器。
三位二进制代码X2X1X0中总共只有一个“1”时,就只要三个状态,即001,010,100。要用 74ls138译码器来实现,就是把这三个状态,对应74ls138的三个译码输出状态上,即Y1,Y2,Y4,得逻辑函数 Z=Y1+Y2+Y4,变成与非-与非式,就变成了74ls138译码器的三个输出端的状态了。因为,这里不能打出...

试用3线8线译码器CT74LS138和与非门实现逻辑函数
令74LS138的三个选通输入依次是ABC。Y1=AC的话 列出真值表,当duABC=101或者111的时候 Y1=1。 当ABC=101时,译码器选zhi择Y5(即此时Y5输出0,其余输出1) 将Y5和Y7接到门电路的与非门即可。Y2,Y3的实现同理 Y2好像可以化简 A先跟BC取异或再跟BC取与 。A跟BC两项都取0输出才为0....

元卓18736848023问: 用74ls138设计一个全加器 -
鱼峰区思力回答:[答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

元卓18736848023问: 用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, -
鱼峰区思力回答:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

元卓18736848023问: 用74ls138设计一个全加器 -
鱼峰区思力回答: Y4.Y5.Y7 ___________ — — — — Ci=Y3.Y6设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2

元卓18736848023问: 用74LS138和与非门实现全加器 呼呼 电路图啊 接线图啊详细点呗 谢谢哈 -
鱼峰区思力回答:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器.全加器有3个输入端:a,b,ci;有2个输出端:s,co.与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7).这里可以把3-8译码器...

元卓18736848023问: 求用两片74ls138设计一个全加器的电路图?? -
鱼峰区思力回答: 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

元卓18736848023问: 只用两片74LS138设计一个全加器 -
鱼峰区思力回答: 不为什么.就是可以做成全加器 用74LS138设 可以构成一位全加器,STb(低电平)和STc(低电平有效)两个接地 STa高电平 A0 A1 A2 为输入 输出公式没法写上来

元卓18736848023问: 求用两片74ls138设计一个四位全加器的电路图??谢谢 -
鱼峰区思力回答: 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?

元卓18736848023问: 用74ls138怎样设计全加器做电路图用什么软件 -
鱼峰区思力回答: 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

元卓18736848023问: 如何用集成二进制译码器74LS138和与非门构成全加器 -
鱼峰区思力回答: 我这里建议你使用两片74LS138芯片 一片控制s函数 一片控制C函数 由于每片芯片都有三个使能端,你只要每片都选用一个就行了 其余的使能端接地就行了.关键的地方来,使用第一片芯片用于函数S 那么这片芯片的使能端接1 为什么 自己去想.那么如何去判断要使用进位信号呢 这里你就要使用三个 输入 ABC 请你记住 当只有 三个变量中 有两个或两个以上同时为1时 才进位,也就是说 控制C函数的芯片才被激活 好了 具体咋做 自己去设计了哈 我已经给你了讲够明白的了 在电脑上画图 不好画 你自己去画吧!

元卓18736848023问: 设计一位全加器 ,74ls138 +2个四输入与非门构成的全加器,用vhdl语言设计程序. -
鱼峰区思力回答: ENTITY adder IS PORT(a,b,c: IN bit; s,c0: OUT bit); END adder; ARCHITECTURE one OF adder IS SIGNAL y_n:bit_vector(7 DOWNTO 0); BEGIN decoder:PROCESS(a,b,c) VARIABLE y:bit_vector(7 DOWNTO 0); BEGIN y := (OTHERS => '1'); ...


本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网