重新审视EUV光刻技术

作者&投稿:车通 (若有异议请与网页底部的电邮联系)
~

拥有超过100,000个组件,这样的EUV光刻系统是有史以来最复杂的机器之一。它由连续生产的最强大的激光系统泵送。总重量为180吨,耗电量超过1兆瓦,单台EUV光刻机的售价高达1.2亿美元。

在EUV光刻技术之前,DUV大行其道。然而随着工艺技术的发展,大型晶圆代工厂已经迫不及待地要调到更先进制程。基于包括Trumpf(德国Ditzingen),Zeiss(德国Oberkochen)和ASML(荷兰Veldhoven )等高 科技 公司之间的独特联盟,EUV光科主要技术问题才得以解决。

为何选择EUV?

极紫外(有时也称为XUV)表示波长在124和10nm之间的软X射线或10eV和124eV之间的光子能量。

到目前为止,芯片制造商已经使用紫外(激光)光将复杂的图案投射到涂有光致抗蚀剂的硅晶片上。在类似于旧纸张照片的开发的过程中,这些图案被开发并成为一层内的导电或隔离结构。重复该过程,直到形成诸如微处理器的集成电路的复杂系统完成。

这种光刻系统的发展受经济驱动:需要更多的计算能力和存储容量,同时必须降低成本和功耗。这种发展可以用一个简单的规则来描述,这个规则被称为摩尔定律,它说密集集成电路中的晶体管数量大约每两年增加一倍。

一个主要的限制来自光学定律。德国物理学家恩斯特·阿贝发现显微镜d的分辨率(大致)限于照明中使用的光的波长λ:

d = λ/(n sin(α))(1)

其中n是透镜和物体之间介质的折射率,α是物镜光锥的半角。对于光刻,用数值孔径(NA)代替n sin(α)并在公式中加入因子k(因为光刻分辨率可以用照明技巧强烈调整),最小可行结构或临界尺寸(CD)是:

CD = kλ / NA(2)

该公式控制着所有光刻成像过程,这使得波长成为如此重要的参数变得明显。因此,工程师们一直在寻找波长越来越短的光源,以生产出更小的特征。从紫外汞蒸汽灯开始,他们转向波长为193纳米的准分子激光器。英特尔在2003年5月宣布,它将下一步采用157纳米准分子激光器,而不是采用波长为13.5纳米的EUV,因此光刻行业获得了惊喜。光学材料的问题被视为主要障碍,EUV似乎只是一些发展步骤。

当时据报道,英特尔研究员兼公司光刻资本设备运营总监彼得西尔弗曼提出了一个问题路线图显示2009年将为32纳米节点部署EUV。事实证明这是过于乐观了,人们不得不想方设法利用193纳米光源通过沉浸式光刻和复杂的照明技巧等技术来实现更小的特征。

用于工业的EUV光源

EUV光刻必须解决许多问题。首先,需要强大的光源。在21世纪初期,基于放电等离子体的光源(如 Xtreme Technologies公司吹捧)似乎最有利,但不久之后激光产生的等离子体源显示它们最适合放大。

最后,总部位于圣地亚哥的Cymer公司凭借使用CO 2激光器从30微米锡滴产生EUV辐射的系统赢得了比赛。虽然他们在2007年推出了一个相当不稳定的30 W光源,但在2014年他们首次展示了如何达到250 W,这个数字被认为是大批量生产的突破。提高EUV转换过程的效率是一项很好的应用研究,毕竟使EUV光刻成为可行。为了加快进度(并确保其唯一供应商),ASML于2012年收购了Cymer。

为商业可行性提供足够的EUV辐射的最终解决方案,是给人留下深刻印象的机器。该机器基于串联生产中最强大的激光器:40 kW CO 2激光器。整个系统需要1兆瓦的电源。由于只有200 W功率的微小部分用于处理晶圆,因此冷却是一个主要问题。

该技术的唯一供应商是德国Ditzingen的TRUMPF。TRUMPF老板兼首席技术官Peter Leibinger非常清楚他的公司的角色:“如果我们失败,摩尔定律将停止。当然,世界并不依赖于TRUMPF,但如果没有TRUMPF,芯片行业就可能无法继续延续摩尔定律,“他在2017年接受采访时表示。

典型CO 2TRUMPF的激光器可以提供几千瓦的连续波(CW)辐射。这适合切割钢材。对于EUV,TRUMPF开发了一种激光器,可以50 kHz的重复频率产生40 kW的脉冲辐射。具有两个播种机和四个放大级的激光器非常大,必须放置在EUV机器下方的单独地板上。

为了跟上市场需求,TRUMPF在一个全新的工厂投入了大量资金,为这些激光器提供了10个生产区。通过10周时间将它们组合在一起,该公司现在每年可以容纳50个系统。目前已经有44个系统在实地,预计2019年还将有30个系统出货。

该机器具有玻璃心脏

虽然泵浦激光器是一种真正独特的机器,但EUV光刻系统中的光学器件同样具有挑战性。首先,必须用巨大的镜子收集来自微小锡滴的等离子体辐射。EUV收集器的直径为650 mm,收集立体角为5 sr。13.5nm处的平均反射率高于40%。

根据SEMICON West会议报告,反射率随时间线性下降:“他们目前的客户安装了NXE:3400B系统,Yen报告的每千兆脉冲降解率约为0.15%。ASML希望在相同功率(250 W)下将其降至低于0.1%/ GP。“换句话说,功率在90天内下降约50%。交换收集器大约需要一天时间,ASML打算用下一代NXE:3400将其减少到不到8小时。报告称,最终目标是95%的可用性,这是目前所有DUV机器的用武之地。

一旦珍贵的EUV光离开收集器,它就会被一组超精密镜子进一步形成和投射。最终表面的粗糙度为0.1nm以下更好,相当于氢原子的直径。光学系统由另一位德国冠军卡尔蔡司半导体制造技术公司(Zeiss SMT)制造,该公司是合作伙伴中第三家建立这些独特高 科技 机器的公司。

注 - NXE:3400系统的分辨率约为13 nm; 这指的是公式(2)和实际的栅极间距。这与芯片制造商经常讨论的“节点”非常不同。最初,节点指的是晶体管的栅极长度。显然,这可以根据工艺和制造商的不同而不同。然而,今天,节点仅涉及由芯片制造商开发的某个过程,并且不直接对应于光学器件的分辨率。例如,芯片制造商使用类似的EUV机器参考其专有工艺,推出7纳米或3纳米节点。

EUV光刻技术的三驾马车

虽然EUV光 科技 术整体涉及1000多家供应商,但核心技术由Trumpf,Zeiss和ASML制造。他们在EUV项目中开发了非常规的合作形式。来自Trumpf的Peter Leibinger将其称为“几乎合并的公司”,其开放式政策和广泛的人员和技术交流。

Zeiss SMT与ASML有着悠久的 历史 ,因为该公司于1983年为飞利浦生产了第一台光刻光学器件; 这项业务于1984年分拆出来并命名为ASML。

在EUV之前,Zeiss和ASML共同征服了光刻系统市场。2010年,他们已经拥有光刻系统约75%的市场份额。到目前为止,他们是工业级EUV系统的唯一供应商。为了促进这种关系,ASML在2016年11月以大约10亿欧元的价格购买了Zeiss SMT 24.9%的股份。此外,ASML承诺支持Zeiss SMT六年的研发工作,投资2.2亿欧元,加上一些5.4亿欧元的投资支持。

由于Zeiss SMT在EUV上大量投资,所以这笔钱非常需要。该公司在德国Oberkochen附近建立了制造和计量大厅;目前,它正在完成下一代具有更高NA的EUV光学器件的准备工作。另外7亿欧元的投资。这包括用于光学系统计量的卡车大小的高真空室。在这些腔室中测试的镜面最大公差为0.5 nm,因此它们采用了业内有史以来最精确的对准和计量技术。

180吨工具的最终组装

Zeiss SMT拥有一个巨大的高 科技 设施,但其规模最大的是阿斯姆公司的Veldhoven工厂的制造大厅。2018年,Zeiss SM的员工增长了21%,目前拥有超过800名博士和超过7500名工程师,总人数为23,000人。

在制造大厅中,EUV步进机器已经完成。目前的顶级车型NXE:3400B重180吨,需要20辆卡车或3辆满载的波音747发货。价格是1.2亿美元。它可以每小时处理125片晶圆,分辨率低至13纳米。

在2019年下半年,宣布升级的NXE:3400C的装运。它将采用更高透射率的光学元件,模块化容器,可显着提高维修保养方便性,以及更快的光罩和晶圆处理器,以支持更高的生产率。这些器件每小时可实现170个晶圆吞吐量。

EUV之后是什么?

到目前为止,EUV光学系统已达到0.33的NA。下一代(ASML宣布该机器为NXE Next)的NA为0.55,分辨率小于8 nm。它包含更大的光学元件,而这也是Zeiss SMT公司的努力方向,并且该公司今年已经开始生产。

作为这些共同努力的结果,显然该技术被驱动到其物理极限,从而实现迄今为止无法想象的规范。例如,光刻系统内的晶片被保持在特殊的玻璃板(所谓的晶片夹具)上。它们以高达3g的加速度移动,将晶圆保持在精确到一纳米的位置。同时,晶片由EUV光照射,热负荷为30kW / m 2,而不会失去其精确位置。

尽管仍在讨论许多技术问题,但市场似乎非常有信心EUV光刻技术将在可预见的未来为半导体产业带来实质性利益。

但在高NA EUV之后会发生什么?到目前为止,似乎还没有认真的答案。一方面,一些研究小组正在准备更短的波长。德国弗劳恩霍夫协会的两个机构于2016年完成了一项关于“超越EUV”的研究项目。他们研究反射涂层(IOF)和等离子体源(ILT)的6.7 nm波长。瑞士集团在2015年总结了光刻胶研究。诸如冲压或电子束光刻的纳米图案的替代方法正在发展。2017年的“模式路线图”试图讨论其进一步发展。

目前,ASML及其盟友在他们的高 科技 大制造厅中建造并展示了,这个时代最大和最先进的技术系统。但是,如果从远处看这一发展,似乎光刻技术的复杂性已达到其可行的最大值。未来EUV光刻技术要得到进一步的实质性进展,将需要完全不同的方法来满足增加的数据存储和处理要求。




重新审视EUV光刻技术
当时据报道,英特尔研究员兼公司光刻资本设备运营总监彼得西尔弗曼提出了一个问题路线图显示2009年将为32纳米节点部署EUV。事实证明这是过于乐观了,人们不得不想方设法利用193纳米光源通过沉浸式光刻和复杂的照明技巧等技术来实现更小的特征。 用于工业的EUV光源 EUV光刻必须解决许多问题。首先,需要强大的光源。在21世纪...

江源县19147391413: 极紫外光刻为什么能提高分辨率 -
呈怪缓士: 波长短 EUV光刻采用波长为10-14纳米的极紫外光作为光源,可使曝光波长一下子降到13.5nm,它能够把光刻技术扩展到32nm以下的特征尺寸.

江源县19147391413: 缩小半导体工艺尺寸能走多远? -
呈怪缓士: 特约撰稿 莫大康 推动半导体业进步有两个轮子,一个是工艺尺寸缩小,另一个是硅片直径增大,而且总是尺寸缩小为先.由半导体工艺路线图看,2013年应该进入14纳米节点,观察近期的报道,似乎已无异议,而且仍是英特尔挑起大梁.尽管...

江源县19147391413: 物流企业的最大的弊端是什么? -
呈怪缓士: 传统物流弊端 所谓传统物流企业,是指计划经济体制下的物资企业,经过转轨变型、改制后的物流企业.包括中国储运总公司下设各省分公司的仓储库,也包括原国家物资部各省市物资局下属的专业公司,以及设于各大区的一级站和产管处等改...

江源县19147391413: 8D手法、QC七大手法是什么??? -
呈怪缓士: 8D 8D方法,又称团队导向问题解决方法,是福特公司处理问题的一种方法,亦适用于制程能力指数低于其应有值时有关问题的解决,它提供了一套符合逻辑的解决问题的方法,同时对于统计制程管制与实际的质量提升架起了一座桥梁. 此课程...

江源县19147391413: 新闻纸与观点纸的区别? -
呈怪缓士: 到底是把报纸做成新闻纸还是观点纸,长久以来在新闻界都是一个仁者见仁、智者见智的问题,这种以往看似只存在于业务理念争论中的命题,在全媒体时代来临的大背景下有必要被重新审视.在新时代条件下,面临新媒体的冲击,权威、独到...

江源县19147391413: euv光刻波长到底是13.5nm还是13.4nm? -
呈怪缓士:[答案] 确定的回答:13.5nm!

江源县19147391413: 如何构建集团企业财务共享服务中心 -
呈怪缓士: 原发布者:龙源期刊网摘;要:越来越多的大型集团开始构建企业的财务共享中心,实现财务的信息的集中处理,实时共享.财务共享服务中心不仅能够促进企业整体财务水平的提高,也能减少企业的运营成本,所以构建合理、科学的财务共...

江源县19147391413: 大数据在跨境电商领域有什么应用 -
呈怪缓士: 在互联网﹑物联网﹑移动技术等新型应用与电子商务相结合的同时,产生﹑积累了大量的﹑形式多样的用户网络行为数据资源,被称为电子商务大数据,并呈现出前所未有的"数据爆炸"状态.这种状态促使电子商务行业重新审视数据的重要性...

江源县19147391413: 传统光学的曝光技术有哪些 -
呈怪缓士: 传统光学曝光是指以紫外光(波长为012~014μm)或者远紫外光来实现的曝光工艺.传统光学曝光有两种基本方式:阴影式曝光(shadowprinting)和投影式曝光(projectionprinting).阴影式曝光技术中,掩膜直 接与晶片接触实现曝光的,叫...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网