用74161怎样设计一个十进制计数器电路???

作者&投稿:佴舒 (若有异议请与网页底部的电邮联系)
用74161怎样设计一个十进制计数器电路~

74161是4位二进制同步加计数器。图8.6.1(a)、(b)分别是它的逻辑电路图和引脚图,其中RD是异步清零端,LD是预置数控制端,A、B、C、D是预置数据输入端,EP和ET是计数使能端,RCO =ET•QA•QB•QC•QD是进位输出端,它的设置为多片集成计数器的级联提供了方便。

详情 1 210.38.96.104/szdz/shixudianlu/08060000.htm

详情 2 wlkc.lnnu.edu.cn/electronic/jiaocai/0504.htm

3)按计数增减分:加法计数器,减法计数器,加/减法计数器.
7.3.1 异步计数器
一,异步二进制计数器
1,异步二进制加法计数器
分析图7.3.1 由JK触发器组成的4位异步二进制加法计数器.
分析方法:由逻辑图到波形图(所有JK触发器均构成为T/ 触发器的形式,且后一级触发器的时钟脉冲是前一级触发器的输出Q),再由波形图到状态表,进而分析出其逻辑功能.
2,异步二进制减法计数器
减法运算规则:0000-1时,可视为(1)0000-1=1111;1111-1=1110,其余类推.

注:74LS163的引脚排列和74LS161相同,不同之处是74LS163采用同步清零方式.
(2)CT74LS161的逻辑功能
①=0时异步清零.C0=0
②=1,=0时同步并行置数.
③==1且CPT=CPP=1时,按照4位自然二进制码进行同步二进制计数.
④==1且CPT·CPP=0时,计数器状态保持不变.
4,反馈置数法获得N进制计数器
方法如下:
·写出状态SN-1的二进制代码.
·求归零逻辑,即求置数控制端的逻辑表达式.
·画连线图.
(集成计数器中,清零,置数均采用同步方式的有74LS163;均采用异步方式的有74LS193,74LS197,74LS192;清零采用异步方式,置数采用同步方式的有74LS161,74LS160;有的只具有异步清零功能,如CC4520,74LS190,74LS191;74LS90则具有异步清零和异步置9功能.等等)
试用CT74LS161构成模小于16的N进制计数器
5,同步二进制加/减计数器
二,同步十进制加法计数器
8421BCD码同步十进制加法计数器电路分析
三,集成同计数器
1,集成十进制同步加法计数器CT74LS160
(1)CT74LS160的引脚排列和逻辑功能示意图
图7.3.3 CT74LS160的引脚排列图和逻辑功能示意图
(2)CT74LS160的逻辑功能
①=0时异步清零.C0=0
②=1,=0时同步并行置数.
③==1且CPT=CPP=1时,按照BCD码进行同步十进制计数.
④==1且CPT·CPP=0时,计数器状态保持不变.
2.集成十进制同步加/减计数器CT74LS190
其逻辑功能示意图如教材图7.3.15所示.功能如教材表7.3.10所示.
集成计数器小结:
集成十进制同步加法计数器74160,74162的引脚排列图,逻辑功能示意图与74161,74163相同,不同的是,74160和74162是十进制同步加法计数器,而74161和74163是4位二进制(16进制)同步加法计数器.此外,74160和74162的区别是,74160采用的是异步清零方式,而74162采用的是同步清零方式.
74190是单时钟集成十进制同步可逆计数器,其引脚排列图和逻辑功能示意图与74191相同.74192是双时钟集成十进制同步可逆计数器,其引脚排列图和逻辑功能示意图与74193相同.
7.3.3 利用计数器的级联获得大容量N进制计数器
计数器的级联是将多个计数器串接起来,以获得计数容量更大的N进制计数器.
1,异步计数器一般没有专门的进位信号输出端,通常可以用本级的高位输出信号驱动下一级计数器计数,即采用串行进位方式来扩展容量.
举例:74LS290
(1)100进制计数器
(2)64进制计数器
2,同步计数器有进位或借位输出端,可以选择合适的进位或借位输出信号来驱动下一级计数器计数.同步计数器级联的方式有两种,一种级间采用串行进位方式,即异步方式,这种方式是将低位计数器的进位输出直接作为高位计数器的时钟脉冲,异步方式的速度较慢.另一种级间采用并行进位方式,即同步方式,这种方式一般是把各计数器的CP端连在一起接统一的时钟脉冲,而低位计数器的进位输出送高位计数器的计数控制端.
举例:74161
(1)60进制
(2)12位二进制计数器(慢速计数方式)
12位二进制计数器(快速计数方式)
7.4 寄存器和移位寄存器
寄存器是由具有存储功能的触发器组合起来构成的.一个触发器可以存储1位二进制代码,存放n位二进制代码的寄存器,需用n个触发器来构成.
按照功能的不同,可将寄存器分为基本寄存器和移位寄存器两大类.基本寄存器只能并行送入数据,需要时也只能并行输出.移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据既可以并行输入,并行输出,也可以串行输入,串行输出,还可以并行输入,串行输出,串行输入,并行输出,十分灵活,用途也很广.
7.4.1 基本寄存器
概念:在数字电路中,用来存放二进制数据或代码的电路称为寄存器.
1,单拍工作方式基本寄存器
无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP上升沿到来,加在并行数据输入端的数据D0~D3,就立即被送入进寄存器中,即有:
2.双拍工作方式基本寄存器
(1)清零.CR=0,异步清零.即有:
(2)送数.CR=1时,CP上升沿送数.即有:
(3)保持.在CR=1,CP上升沿以外时间,寄存器内容将保持不变.
7.4.2 移位寄存器
1.单向移位寄存器
四位右移寄存器:
时钟方程:
驱动方程:
状态方程:
右移位寄存器的状态表:
输入
现态
次态
说明
Di CP
1 ↑
1 ↑
1 ↑
1 ↑
0 0 0 0
1 0 0 0
1 1 0 0
1 1 1 0
1 0 0 0
1 1 0 0
1 1 1 0
1 1 1 1
连续输入4个1
单向移位寄存器具有以下主要特点:
单向移位寄存器中的数码,在CP脉冲操作下,可以依次右移或左移.
n位单向移位寄存器可以寄存n位二进制代码.n个CP脉冲即可完成串行输入工作,此后可从Q0~Qn-1端获得并行的n位二进制数码,再用n个CP脉冲又可实现串行输出操作.
若串行输入端状态为0,则n个CP脉冲后,寄存器便被清零.
2.双向移位寄存器
M=0时右移 M=1时左移

3.集成双向移位寄存器74LS194
CT74LS194的引脚排列图和逻辑功能示意图:
CT74LS194的功能表:
工作状态
0 × × ×
1 0 0 ×
1 0 1 ↑
1 1 0 ↑
1 1 1 ×
异步清零
保 持
右 移
左 移
并行输入
7.4.3 移位寄存器的应用
一,环形计数器
1,环形计数器是将单向移位寄存器的串行输入端和串行输出端相连, 构成一个闭合的环.
结构特点:,即将FFn-1的输出Qn-1接到FF0的输入端D0.
工作原理:根据起始状态设置的不同,在输入计数脉冲CP的作用下,环形计数器的有效状态可以循环移位一个1,也可以循环移位一个0.即当连续输入CP脉冲时,环形计数器中各个触发器的Q端或端,将轮流地出现矩形脉冲.
实现环形计数器时,必须设置适当的初态,且输出Q3Q2Q1Q0端初始状态不能完全一致(即不能全为"1"或"0"),这样电路才能实现计数, 环形计数器的进制数N与移位寄存器内的触发器个数n相等,即N=n
2,能自启动的4位环形计数器
状态图:
由74LS194构成的能自启动的4位环形计数器
时序图
二,扭环形计数器
1,扭环形计数器是将单向移位寄存器的串行输入端和串行反相输出端相连,构成一个闭合的环.
实现扭环形计数器时,不必设置初态.扭环形计数器的进制数
N与移位寄存器内的触发器个数n满足N=2n的关系
结构特点为:,即将FFn-1的输出接到FF0的输入端D0.
状态图:
2,能自启动的4位扭环形计数器
7.4.4 顺序脉冲发生器
在数字电路中,能按一定时间,一定顺序轮流输出脉冲波形的电路称为顺序脉冲发生器.
顺序脉冲发生器也称脉冲分配器或节拍脉冲发生器,一般由计数器(包括移位寄存器型计数器)和译码器组成.作为时间基准的计数脉冲由计数器的输入端送入,译码器即将计数器状态译成输出端上的顺序脉冲,使输出端上的状态按一定时间,一定顺序轮流为1,或者轮流为0.前面介绍过的环形计数器的输出就是顺序脉冲,故可不加译码电路即可直接作为顺序脉冲发生器.
一,计数器型顺序脉冲发生器
计数器型顺序脉冲发生器一般用按自然态序计数的二进制计数器和译码器构成.
举例:用集成计数器74LS163和集成3线-8线译码器74LS138构成的8输出顺序脉冲发生器.
二,移位型顺序脉冲发生器
◎移位型顺序脉冲发生器由移位寄存器型计数器加译码电路构成.其中环形计数器的输出就是顺序脉冲,故可不加译码电路就可直接作为顺序脉冲发生器.
◎时序图:
◎由CT74LS194构成的顺序脉冲发生器
见教材P233的图7.4.6和图7.4.7
7.5 同步时序电路的设计(略)
7.6 数字系统一般故障的检查和排除(略)
本章小结
计数器是一种应用十分广泛的时序电路,除用于计数,分频外,还广泛用于数字测量,运算和控制,从小型数字仪表,到大型数字电子计算机,几乎无所不在,是任何现代数字系统中不可缺少的组成部分.
计数器可利用触发器和门电路构成.但在实际工作中,主要是利用集成计数器来构成.在用集成计数器构成N进制计数器时,需要利用清零端或置数控制端,让电路跳过某些状态来获得N进制计数器.
寄存器是用来存放二进制数据或代码的电路,是一种基本时序电路.任何现代数字系统都必须把需要处理的数据和代码先寄存起来,以便随时取用.
寄存器分为基本寄存器和移位寄存器两大类.基本寄存器的数据只能并行输入,并行输出.移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据可以并行输入,并行输出,串行输入,串行输出,并行输入,串行输出,串行输入,并行输出.
寄存器的应用很广,特别是移位寄存器,不仅可将串行数码转换成并行数码,或将并行数码转换成串行数码,还可以很方便地构成移位寄存器型计数器和顺序脉冲发生器等电路.
在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算或操作,这就要求机器的控制部分不仅能正确地发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序.通常采取的方法是,用一个顺序脉冲发生器来产生时间上有先后顺序的脉冲,以控制系统各部分协调地工作.
顺序脉冲发生器分计数型和移位型两类.计数型顺序脉冲发生器状态利用率高,但由于每次CP信号到来时,可能有两个或两个以上的触发器翻转,因此会产生竞争冒险,需要采取措施消除.移位型顺序脉冲发生器没有竞争冒险问题,但状态利用率低.

由JK触发器组成的4位异步二进制减法计数器的工作情况分析略.
二,异步十进制加法计数器
由JK触发器组成的异步十进制加法计数器的由来:在4位异步二进制加法计数器的基础上经过适当修改获得.
有效状态:0000——1001十个状态;无效状态:1010~1111六个状态.
三,集成异步计数器CT74LS290
为了达到多功能的目的,中规模异步计数器往往采用组合式的结构,即由两个独立的计数来构成整个的计数器芯片.如:
74LS90(290):由模2和模5的计数器组成;
74LS92 :由模2和模6的计数器组成;
74LS93 :由模2和模8的计数器组成.
1.CT74LS290的情况如下.
(1)电路结构框图和逻辑功能示意图
(2)逻辑功能
如下表7.3.1所示.
注:5421码十进制计数时,从高位到低位的输出为.
2,利用反馈归零法获得N(任意正整数)进制计数器
方法如下:
(1)写出状态SN的二进制代码.
(2)求归零逻辑(写出反馈归零函数),即求异步清零端(或置数控制端)信号的逻辑表达式.
(3)画连线图.
举例:试用CT74LS290构成模小于十的N进制计数器.
CT74LS290则具有异步清零和异步置9功能.讲解教材P215的[例7.3.1].
注:CT74LS90的功能与CT74LS290基本相同.
7.3.2 同步计数器
一,同步二进制计数器
1.同步二进制加法计数器
2,同步二进制减法计数器
3,集成同步二进制计数器CT74LS161
(1)CT74LS161的引脚排列和逻辑功能示意图
注:74LS163的引脚排列和74LS161相同,不同之处是74LS163采用同步清零方式.
(2)CT74LS161的逻辑功能
①=0时异步清零.C0=0
②=1,=0时同步并行置数.
③==1且CPT=CPP=1时,按照4位自然二进制码进行同步二进制计数.
④==1且CPT·CPP=0时,计数器状态保持不变.
4,反馈置数法获得N进制计数器
方法如下:
·写出状态SN-1的二进制代码.
·求归零逻辑,即求置数控制端的逻辑表达式.
·画连线图.
(集成计数器中,清零,置数均采用同步方式的有74LS163;均采用异步方式的有74LS193,74LS197,74LS192;清零采用异步方式,置数采用同步方式的有74LS161,74LS160;有的只具有异步清零功能,如CC4520,74LS190,74LS191;74LS90则具有异步清零和异步置9功能.等等)
试用CT74LS161构成模小于16的N进制计数器
5,同步二进制加/减计数器
二,同步十进制加法计数器
8421BCD码同步十进制加法计数器电路分析
三,集成同计数器
1,集成十进制同步加法计数器CT74LS160
(1)CT74LS160的引脚排列和逻辑功能示意图
图7.3.3 CT74LS160的引脚排列图和逻辑功能示意图
(2)CT74LS160的逻辑功能
①=0时异步清零.C0=0
②=1,=0时同步并行置数.
③==1且CPT=CPP=1时,按照BCD码进行同步十进制计数.
④==1且CPT·CPP=0时,计数器状态保持不变.
2.集成十进制同步加/减计数器CT74LS190
其逻辑功能示意图如教材图7.3.15所示.功能如教材表7.3.10所示.
集成计数器小结:
集成十进制同步加法计数器74160,74162的引脚排列图,逻辑功能示意图与74161,74163相同,不同的是,74160和74162是十进制同步加法计数器,而74161和74163是4位二进制(16进制)同步加法计数器.此外,74160和74162的区别是,74160采用的是异步清零方式,而74162采用的是同步清零方式.
74190是单时钟集成十进制同步可逆计数器,其引脚排列图和逻辑功能示意图与74191相同.74192是双时钟集成十进制同步可逆计数器,其引脚排列图和逻辑功能示意图与74193相同.
7.3.3 利用计数器的级联获得大容量N进制计数器
计数器的级联是将多个计数器串接起来,以获得计数容量更大的N进制计数器.
1,异步计数器一般没有专门的进位信号输出端,通常可以用本级的高位输出信号驱动下一级计数器计数,即采用串行进位方式来扩展容量.
举例:74LS290
(1)100进制计数器
(2)64进制计数器
2,同步计数器有进位或借位输出端,可以选择合适的进位或借位输出信号来驱动下一级计数器计数.同步计数器级联的方式有两种,一种级间采用串行进位方式,即异步方式,这种方式是将低位计数器的进位输出直接作为高位计数器的时钟脉冲,异步方式的速度较慢.另一种级间采用并行进位方式,即同步方式,这种方式一般是把各计数器的CP端连在一起接统一的时钟脉冲,而低位计数器的进位输出送高位计数器的计数控制端.
举例:74161
(1)60进制
(2)12位二进制计数器(慢速计数方式)
12位二进制计数器(快速计数方式)
7.4 寄存器和移位寄存器
寄存器是由具有存储功能的触发器组合起来构成的.一个触发器可以存储1位二进制代码,存放n位二进制代码的寄存器,需用n个触发器来构成.
按照功能的不同,可将寄存器分为基本寄存器和移位寄存器两大类.基本寄存器只能并行送入数据,需要时也只能并行输出.移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据既可以并行输入,并行输出,也可以串行输入,串行输出,还可以并行输入,串行输出,串行输入,并行输出,十分灵活,用途也很广.
7.4.1 基本寄存器
概念:在数字电路中,用来存放二进制数据或代码的电路称为寄存器.
1,单拍工作方式基本寄存器
无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP上升沿到来,加在并行数据输入端的数据D0~D3,就立即被送入进寄存器中,即有:
2.双拍工作方式基本寄存器
(1)清零.CR=0,异步清零.即有:
(2)送数.CR=1时,CP上升沿送数.即有:
(3)保持.在CR=1,CP上升沿以外时间,寄存器内容将保持不变.
7.4.2 移位寄存器
1.单向移位寄存器
四位右移寄存器:
时钟方程:
驱动方程:
状态方程:
右移位寄存器的状态表:
输入
现态
次态
说明
Di CP
1 ↑
1 ↑
1 ↑
1 ↑
0 0 0 0
1 0 0 0
1 1 0 0
1 1 1 0
1 0 0 0
1 1 0 0
1 1 1 0
1 1 1 1
连续输入4个1
单向移位寄存器具有以下主要特点:
单向移位寄存器中的数码,在CP脉冲操作下,可以依次右移或左移.
n位单向移位寄存器可以寄存n位二进制代码.n个CP脉冲即可完成串行输入工作,此后可从Q0~Qn-1端获得并行的n位二进制数码,再用n个CP脉冲又可实现串行输出操作.
若串行输入端状态为0,则n个CP脉冲后,寄存器便被清零.
2.双向移位寄存器
M=0时右移 M=1时左移

3.集成双向移位寄存器74LS194
CT74LS194的引脚排列图和逻辑功能示意图:
CT74LS194的功能表:
工作状态
0 × × ×
1 0 0 ×
1 0 1 ↑
1 1 0 ↑
1 1 1 ×
异步清零
保 持
右 移
左 移
并行输入
7.4.3 移位寄存器的应用
一,环形计数器
1,环形计数器是将单向移位寄存器的串行输入端和串行输出端相连, 构成一个闭合的环.
结构特点:,即将FFn-1的输出Qn-1接到FF0的输入端D0.
工作原理:根据起始状态设置的不同,在输入计数脉冲CP的作用下,环形计数器的有效状态可以循环移位一个1,也可以循环移位一个0.即当连续输入CP脉冲时,环形计数器中各个触发器的Q端或端,将轮流地出现矩形脉冲.
实现环形计数器时,必须设置适当的初态,且输出Q3Q2Q1Q0端初始状态不能完全一致(即不能全为"1"或"0"),这样电路才能实现计数, 环形计数器的进制数N与移位寄存器内的触发器个数n相等,即N=n
2,能自启动的4位环形计数器
状态图:
由74LS194构成的能自启动的4位环形计数器
时序图
二,扭环形计数器
1,扭环形计数器是将单向移位寄存器的串行输入端和串行反相输出端相连,构成一个闭合的环.
实现扭环形计数器时,不必设置初态.扭环形计数器的进制数
N与移位寄存器内的触发器个数n满足N=2n的关系
结构特点为:,即将FFn-1的输出接到FF0的输入端D0.
状态图:
2,能自启动的4位扭环形计数器
7.4.4 顺序脉冲发生器
在数字电路中,能按一定时间,一定顺序轮流输出脉冲波形的电路称为顺序脉冲发生器.
顺序脉冲发生器也称脉冲分配器或节拍脉冲发生器,一般由计数器(包括移位寄存器型计数器)和译码器组成.作为时间基准的计数脉冲由计数器的输入端送入,译码器即将计数器状态译成输出端上的顺序脉冲,使输出端上的状态按一定时间,一定顺序轮流为1,或者轮流为0.前面介绍过的环形计数器的输出就是顺序脉冲,故可不加译码电路即可直接作为顺序脉冲发生器.
一,计数器型顺序脉冲发生器
计数器型顺序脉冲发生器一般用按自然态序计数的二进制计数器和译码器构成.
举例:用集成计数器74LS163和集成3线-8线译码器74LS138构成的8输出顺序脉冲发生器.
二,移位型顺序脉冲发生器
◎移位型顺序脉冲发生器由移位寄存器型计数器加译码电路构成.其中环形计数器的输出就是顺序脉冲,故可不加译码电路就可直接作为顺序脉冲发生器.
◎时序图:
◎由CT74LS194构成的顺序脉冲发生器
见教材P233的图7.4.6和图7.4.7
7.5 同步时序电路的设计(略)
7.6 数字系统一般故障的检查和排除(略)
本章小结
计数器是一种应用十分广泛的时序电路,除用于计数,分频外,还广泛用于数字测量,运算和控制,从小型数字仪表,到大型数字电子计算机,几乎无所不在,是任何现代数字系统中不可缺少的组成部分.
计数器可利用触发器和门电路构成.但在实际工作中,主要是利用集成计数器来构成.在用集成计数器构成N进制计数器时,需要利用清零端或置数控制端,让电路跳过某些状态来获得N进制计数器.
寄存器是用来存放二进制数据或代码的电路,是一种基本时序电路.任何现代数字系统都必须把需要处理的数据和代码先寄存起来,以便随时取用.
寄存器分为基本寄存器和移位寄存器两大类.基本寄存器的数据只能并行输入,并行输出.移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据可以并行输入,并行输出,串行输入,串行输出,并行输入,串行输出,串行输入,并行输出.
寄存器的应用很广,特别是移位寄存器,不仅可将串行数码转换成并行数码,或将并行数码转换成串行数码,还可以很方便地构成移位寄存器型计数器和顺序脉冲发生器等电路.
在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算或操作,这就要求机器的控制部分不仅能正确地发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序.通常采取的方法是,用一个顺序脉冲发生器来产生时间上有先后顺序的脉冲,以控制系统各部分协调地工作.
顺序脉冲发生器分计数型和移位型两类.计数型顺序脉冲发生器状态利用率高,但由于每次CP信号到来时,可能有两个或两个以上的触发器翻转,因此会产生竞争冒险,需要采取措施消除.移位型顺序脉冲发生器没有竞争冒险问题,但状态利用率低.

十进制数转换为二进制数时,由于整数和小数的转换方法不同,所以先将十进制数的整数部分和小数部分分别转换后,再加以合并。RCO =ET•QA•QB•QC•QD是进位输出端。


十进制整数转换为二进制整数 十进制整数转换为二进制整数采用"除2取余,逆序排列"法。具体做法是:

用2去除十进制整数,可以得到一个商和余数;再用2去除商,又会得到一个商和余数,如此进行,直到商为零时为止,然后把先得到的余数作为二进制数的低位有效位,后得到的余数作为二进制数的高位有效位,依次排列起来。

扩展资料:

所周知,计算机内部使用二进制表示数,二进制与十进制的转换是比较复杂的。比如要让计算机计算50+50,那么首先要把十进制的50转换成二进制的“50”——110010,这个过程要做多次除法,而计算机对于除法的计算是最慢的。

把十进制的50转换成二进制的110010还不算完,计算出结果1100100之后还要再转换成十进制数100,这是一个做乘法的过程,对计算机来说虽然比除法简单,但计算速度也不快。本来一步完成的事,却白白浪费了好多步骤,究其原因,就是人们使用的十进制不适应现代化信息设备,不是最佳信息计数法。

参考资料来源:百度百科-十进制



74161是4位二进制同步加计数器。图8.6.1(a)、(b)分别是它的逻辑电路图和引脚图,其中RD是异步清零端,LD是预置数控制端,A、B、C、D是预置数据输入端,EP和ET是计数使能端,RCO =ET•QA•QB•QC•QD是进位输出端,它的设置为多片集成计数器的级联提供了方便。

详情 1 http://210.38.96.104/szdz/shixudianlu/08060000.htm

详情 2 http://wlkc.lnnu.edu.cn/electronic/jiaocai/0504.htm

http://wenku.baidu.com/link?url=GG4uS7UKUwlb3E2f1t6eprtVuWGqW9A9rzwRTwzYWBffQqrU2uJuq_W7KuhmFAtc8VPiqSIq4554zGdrTAdPcJ8-B-6QMNLZAeYKK9kPdP7

这里有比较详细的说明!!


同步时序逻辑电路设计用74161制成8进制的计数器
用74161做8进制的计数器,即不用清0法,也不用置数法。因为74161就是四位二进制计数器,即16进制计数器,四位输出为0000~1111。那么取低3位输出端,Q2Q1Q0就是8进制二进制数,即000~111。将74161接成正常计数状态,取低3位即可。如下仿真图所示,最高位Q3不用。数码管可省掉,那是为了显示...

试用74161设计一个五进制加计数器
逢5进1,自然不会出现5,在161的输出值为Q-DCBA=0101 时就要进位,同时Q-DCBA=0000(这个用预置DCBA做就可以),判断进位可以用与门、非门,输出作为进位位,同时控制预置。你没说几位的五进制,只给了你一位的思路,你按照这个继续思考吧。话说设计任意进制有个简单方法。

怎样用74161设计一个同步十进制计数器电路
其他类似问题 2015-12-13 用74161怎样设计一个十进制计数器电路 1 2009-01-12 用74161怎样设计一个十进制计数器电路??? 44 2017-07-17 怎样用74161设计一个同步十进制计数器电路 2012-01-03 74161集成计数器设计一个带进位的八进制计数器电路。 13 2018-08-01 ...

用74161的异步清零和同步置数构成九进制计数器,起始状态为0100_百度知 ...
以下为异步清零法:以下为同步预置数法:

74161芯片仿真设计163进制加法计数器怎么以十进制显示?
2,用三片74161计数,每一片都改成十进制计数器就容易显示了,方法同上。3,如果计数器必须以二进制数形式计数,那另外再增加一套计数器,用三片74LS160,这是十进制计数器,直接用译码器配数码管显示。两套计数器对同一个时钟信号同步计数,只是计数形式不同,第二套是专门用来显示的,这种设计方案...

74161怎么设计成能 3 4 5 6 7 10 11 12 13 14计数???万分感谢!!!_百度...
1,2,0,1,2……循环,这样当q1,q0出现11时,给计数器清零!故将q1,q0端接二输入与非门两个输入端,与非门输出端接clear端即可实现3计数器。其他依此类推!!!关键是判断q3、q2、q1,q0端的端口状态,根据所设计的计数器的需求,及时给输出端清零信号就ok了!!!

用ct74161采用异步置零法设计一个13进制的计数器 可以附加必要的门电...
74161 是4位2进制计数器 也就是16进制计数器 13<16 所以 只用一片芯片就可以实现 所以用异步清零法 把预置数端接高电平 将1101 经过三与非门 送给清零端 就可以了

明天会考74161的清零法和置数法,知道的说下,怎么清零,怎么置数,_百度...
构成九进制的计数器:从0100~1100,因为74161是异步清零,所以把D3D2D1D0=0100,Q3Q2Q1Q0=1101(13)然后如果你是用74LS00(二输入与非门)与非门的话,那么就需要从Q3、Q2、Q0引出三根线,需要用74LS00中的三个与非门。先将Q3和Q2接入一个与非门的输入端,再将其输出端,接入另一个与非门,...

怎么用74161实现36分频电路的设计???
你可以设成3*12,4*9,6*6两级分频器。可有6分频器较为简单,预置值设为3, 计数4,5,6,7,8,从Q4接预置控制脚。单计到8时,下一脉冲预置3,实际计数3,4,5,6,7,8共6个。可参考以前的回答和LS161的文档。参考资料:http:\/\/zhidao.baidu.com\/question\/360166428.html ...

74161实现五进制,十二进制,会的大哥帮帮忙啊
楼上的纯属扯淡,74161是4位二进制同步计数器,你不懂别误导人家好吧,其实我也在找实现五进制的方法,但书上的全是计数分频,没提到实现几进制啊。。。 下面是我自己设计的

斗门区15969738772: 数字电路问题 设计十进制计数器 用一片十六进制加法计数器74161设计一个带进位输出的从1计到10的十进制计数器.写出设计方法,画出设计方法,画出逻辑... -
阿油泰嘉:[答案] 同步置数法,当记到10的时候(1010),用个或门,与非门得到低电平给异步置数端置1从新计数.

斗门区15969738772: 用74161设计的十进制计数器 -
阿油泰嘉:十个CP脉冲 Qd一个高电平

斗门区15969738772: 怎样用74161设计一个模十计数器(十进制加法计数器) ,来个电路图 -
阿油泰嘉:[答案] 这个东西,不难啊,查一手册不就知道了,真懒 给你参考

斗门区15969738772: 用74161设计一个60进制数字秒表并将结果用共阴极数码管显示的实验方案 -
阿油泰嘉: 需要用两片74161,分别对个位,十位计数.个位要改成十进制数计数器,十位改成六进制计数器. 74HC161是四位二进制计数器,要设计60进制数秒表要用两片,个接改成十进制计数器,十位改成六进制计数器.手动清零按键放在清0输入端...

斗门区15969738772: 用74161设计一个可变模的计数器.要求:当输入x=0时,电路为模8计数器;当输入x=1 -
阿油泰嘉: 把Q4输出(取反)引至清0端,就可构成模8计数器,同理把Q3输出(取反)引至清0端,就可构成模4计数器; 则X信号就用于选择(选通)Q3、Q2信号了;也就是 F = X * Q2 + X' * Q3; 那么复位信号(低电平有效)MR = F' = (X * Q2)'*(X' * Q3)'; 给你个参考

斗门区15969738772: 用两片74161和基本逻辑门构成逢十进一的二十四进制计数器 求逻辑电路图 -
阿油泰嘉: U1是低4位,U2是高4位.U1利用与非门反馈组成10进制计数器,U2由于最大只到2不需要组成10进制.两个计数器级联,当高4位为0010,低4位为0100(24),与非门输出低电平,两个计数器置0,构成24进制.

斗门区15969738772: 用74161实现模12的计数逻辑功能.要求用三种不同的方法进行实现...
阿油泰嘉: 用74161完成10分频是可以的,用一个二输入端与非门的两个输入端分别接到74161的输出端Qd和Qb,用与非门的输出作为74161的清零信号即可. 但是74161的输出方式和CD4017是不一样的,CD4017是由10个输出脚分别代表0~9,而74161是BCD码输出,如果要用74161改成的十进制计数器代替CD4017,还要加一个4-10译码器74HC42,而74HC42比CD4017还要贵些.

斗门区15969738772: 试用4位同步二进制计数器74161接成十二进制计数器 -
阿油泰嘉: 序列长度:10 先将16进制计数器连成同步清零的10进制,这个很常见吧~ 那么异或的关系说白了,就是每一个bit的变化都影响到值的变化,那么就把b2,b1

斗门区15969738772: 设计一个模为70的计数器(用两片74161级联实现) -
阿油泰嘉: 请用74LS161设计一个模值为12的计数器要详细过程谢谢

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网