如何用双四选一数据结构选择器74LS153实现全加器

作者&投稿:鲜发 (若有异议请与网页底部的电邮联系)
能否用两个四选一数据选择器实现全加器 也就是说用一片74LS153实现~

一片不行,得三片.

用 74LS153 设计一个一位全加器。
------------------
1. 根据全加器的功能要求,写出真值表。
 全加器功能: C_S = X + Y + Z。
 真值表,放在插图中了。
 (用数据选择器设计时,卡诺图、化简、逻辑表达式,都是不需要的。)
2. 选定输入输出接口端。
 A、B,连接两个输入变量 Y、Z;
 D0~D3,用于连接输入变量 X;
 1Y,作为和的输出端 S;
 2Y,作为进位的输出 C。
3. 分析真值表,确定各数据端的输入。
 S:
  YZ=00 时,S 等于 X,所以,应把 X 接到 1X0;
  YZ=01 时,S 等于 /X,所以,应把 /X 接到 1X1;
  YZ=10 时,S 等于 /X,所以,应把 /X 接到 1X2;
  YZ=11 时,S 等于 X,所以,应把 X 接到 1X3。
 C:
  YZ=00 时,C 等于 0;
  YZ=01 时,C 等于 X;
  YZ=10 时,C 等于 X;
  YZ=11 时,C 等于 1。
4. 画出逻辑图。
 根据前面的分析,除了 74LS153,还需要一个非门。

用 153 设计电路,在分析各个输入端是什么信号时,只需使用真值表。
由于不是用逻辑门设计电路,卡诺图、逻辑表达式,就都是不需要的。
有人,列出了“全加器的逻辑表达式”,不仅用不上,反而会走入歧途。

根据全加器真值表,可写出和S,高位进位CO的逻辑函数。

A1A0作为两个输入变量,即加数和被加数A、B,D0~D3为第三个输入变量,即低位进位CI,1Y为全加器的和S,2Y全加器的高位进位CO,则可令数据选择器的输入为

A1=A,A0=B,1DO=1D3=CI,1D1=1D2=CI反,2D0=0,2D3=1,2D1=2D2=CI,1Q=S1,2Q=CO;

可以根据管脚所对应的连接电路

扩展资料

工作原理是:给A1A0一组信号 比如1 0 那么就相当于给了他一个2进制数字2 也就相当于选通了D2这个输入端,这个时候 输出Y 输出的就是D2的信号;D2是什么,Y就输出什么 

输出表如下:

控制    选择的输出源    

A1    A0    Y    

0    0    D0    

0    1    D1    

1    0    D2    

1    1    D3

数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号

4选1原理图

如图1所示的是四选一数据选择器的原理图。图1中的D0、D1、D2、D3是四个数据输入端,Y为输出端,A1、A0是地址输入端。从表中可见,利用指定A1A0的代码,能够从D0、D1、D2、D3这四个输入数据中选出任何一个并送到输出端。因此,用数据选择器可以实现数据的多路分时传送。

此外,数据选择器还广泛用于产生任意一种组合逻辑函数。在图示电路中,若将Y看成是A0、A1及D0、D1、D2、D3的函数,则可写成

如果把A1、A0视为两个输入逻辑变量,同时把D0、D1、D2和D3取为第三个输入逻辑变量A2的不同状态(即A2、/A2、1或0),便可产生所需要的任何一种三变量A2、A1、A0的组合逻辑函数。

可见,利用具有n位地址输入的数据选择器可以产生任何一种输入变量数不大于n +1的组合逻辑函数  

参考资料来源:百度百科-数据选择器



用 74LS153 设计一个一位全加器。

------------------

1. 根据全加器的功能要求,写出真值表。

 全加器功能: C_S = X + Y + Z。

 真值表,放在插图中了。

 (用数据选择器设计时,卡诺图、化简、逻辑表达式,都是不需要的。)

2. 选定输入输出接口端。

 A、B,连接两个输入变量 Y、Z;

 D0~D3,用于连接输入变量 X;

 1Y,作为和的输出端 S;

 2Y,作为进位的输出 C。

3. 分析真值表,确定各数据端的输入。

 S:

  YZ=00 时,S 等于 X,所以,应把 X 接到 1X0;

  YZ=01 时,S 等于 /X,所以,应把 /X 接到 1X1;

  YZ=10 时,S 等于 /X,所以,应把 /X 接到 1X2;

  YZ=11 时,S 等于 X,所以,应把 X 接到 1X3。

 C:

  YZ=00 时,C 等于 0;

  YZ=01 时,C 等于 X;

  YZ=10 时,C 等于 X;

  YZ=11 时,C 等于 1。

4. 画出逻辑图。

 根据前面的分析,除了 74LS153,还需要一个非门。

用 153 设计电路,在分析各个输入端是什么信号时,只需使用真值表。

因为不是用逻辑门设计电路,所以,卡诺图、逻辑表达式,都是不需要的。

有人,列出了“全加器的逻辑表达式”,明显是冒充内行。



根据全加器真值表,可写出和S,高位进位CO的逻辑函数。
A1A0作为两个输入变量,即加数和被加数A、B,D0~D3为第三个输入变量,即低位进位CI,1Y为全加器的和S,2Y全加器的高位进位CO,则可令数据选择器的输入为:A1=A,A0=B,1DO=1D3=CI,1D1=1D2=CI反,2D0=0,2D3=1,2D1=2D2=CI,1Q=S1,2Q=CO;
可以根据管脚所对应的连接电路



1D0=Ci 改一下


如何用双四选一数据结构选择器74LS153实现全加器
A1A0作为两个输入变量,即加数和被加数A、B,D0~D3为第三个输入变量,即低位进位CI,1Y为全加器的和S,2Y全加器的高位进位CO,则可令数据选择器的输入为 A1=A,A0=B,1DO=1D3=CI,1D1=1D2=CI反,2D0=0,2D3=1,2D1=2D2=CI,1Q=S1,2Q=CO;可以根据管脚所对应的连接电路 ...

什么是双四选一数据选择器,就是两个四选一数据选择器吗?
是的,但是,每次选择的都是同一位。选择的结果只有四种:1D0 2D0,1D1 2D1, 1D2 2D2, 1D3 2D3

怎样利用双2-4线译码器74HC139和双4选1数据选择器设计实现三组二位数...
4选1数据选择器  4选1数据选择器的功能是从4个相互独立的数据输入端D0-D3中选出一个来送至输出端,因为2位二进制代码就可表示4个地址,所以具有2个地址输入端A0和A1。还有一个附加控制端S,具有使能作用,当S=1是才正常执行数据选择功能,否则输出总为0。

双四选一数据选择器只有两个输出,现在电路要求有三个输出,如何用一简单...
两个输出其实有四种输出结果:(0,0),(0,1),(1,0),(1,1)

用4选1数据选择器实现逻辑函数。
1、通过电气画布右键菜单,或者快捷键ctrl+W,进入元器件库进行选型。2、我们选择一个数据选择器和一个反相器(非门)。3、依次通过:simulation——instrument——logic converter;添加一个逻辑转换器到画布上。4、将以上选择好的元器件,按照电气原理图进行连接。5、连接完毕后,我们双击logic converter的...

考研有的科目说为4选一是什么意思。比如说:计算机组成原理,数据...
1、计算机专业统考都是四门全考的。组成原理和数据结构都是45分,操作系统35分,网络25分。一张试卷算一门课。2、按楼主所说的情况,你们学校如果是三选一或四选一也是有可能的。据我所知,以江苏为例,现在因为改了统考,难度增大太多,一些211的计算机专业有博士点的学校都经常招不满学生。所以有...

急求啊!!!哪位大侠有福大数字电子技术基础(数逻)历届的期末考试卷啊...
五、 试用双四选一数据选择器74LS153和必要的门电路实现两个 2位二进制数A=A1A0,B=B1B0的比较电路。要求当A>B时,Y1=1;当A<B时,Y2=1。(12`)六、 主从结构RS触发器及主从结构JK触发器各输入端的电压波形如图所示,试分别画出RS触发器和JK触发器的输出端Q及Q的波形。(12`)七、 ...

实验一 四选一数据选择器的设计
实验内容1、分别采用原理图和VHDL语言的形式设计4选1数据选择器2、对所涉及的电路进行编译及正确的仿真。三、实验条件QuartusII实验环境四、实验与仿真原理图:D0、D1、D2、D3:输入数据A1、A0:地址变量由地址码决定从4路输入中选择哪1路输出。(2)真值表如下图:仿真结果:St为功能端。当st=1...

双色球的选号规律都有哪些啊?
规律”,避免陷入赌博的误区。总之,双色球选号规律是一个复杂而有趣的话题。通过对历史数据的分析和研究,我们可以总结出一些选号技巧和规律,但需要结合其他因素进行综合考虑,并保持理性和冷静的心态。只有这样,才能在享受双色球带来的乐趣的同时,避免陷入赌博的误区。

数据结构是什么啊
数据结构是指相互之间存在着一种或多种关系的数据元素的集合和该集合中数据元素之间的关系组成。记为:数据结构Data_Structure=(D,R)其中D是数据元素的集合,R是该集合中所有元素之间的关系的有限集合。数据结构是在整个计算机科学与技术领域上广泛被使用的术语。它用来反映一个数据的内部构成,即一个数据...

大同市13950747839: 用双4选1数据选择器74LS153和与非门实现1位全减器,要有真值表和电路图 -
邓琴百合: 用双4选1数据选择器74LS153和与非门实现1位全减器,要有真值表和电路图 1位全减器真值表 逻辑函数,写成最小项表达式 Y=m1+m2+m4+m7 Cy=m1+m2+m3+m7 逻辑图如下,也是仿真图

大同市13950747839: 用双四选一数据选择器74LS153和非门构成一位全加器 -
邓琴百合: 先写出全加器的表达式 f=Em(1、2、4、7)co=Em(3、5、6、7) 化简成与或非的表达式 然后花电路图 如下

大同市13950747839: 怎样用双4选1数据选择器构成一个8选1的电路 -
邓琴百合: 这种设计有什么特别的意义吗? 双4选一每组都有一个使能管脚,设计在两组使能管脚上的输入相反信号的第三个控制信号,加上原有的两个选择信号就能完成你提的要求了

大同市13950747839: 4选一多路选择器有几个输入端,几个信号选择端,几个信号输出端
邓琴百合: 74ls153双4选1数据选择器/多路选择器 输入a0,a1,a2,a3和b0,b1,b2,b3, 输出Y0和Y1 信号选择端A,B 使能端STROBE1G,STROBE2G

大同市13950747839: 74LS153如何构成十六选一数据选择器 画出电路图 不知道怎么设计,多谢提点 -
邓琴百合: 153为双四选一数据选择器,最简单的方法是分两层实现.假设十六选一的选择线为A3A2A1A0. 低层排四个四选一数据选择器,每个的选择信号都接A1A0 高层用一个四选一数据选择器,它的选择信号用A3A2,数据输入信号将低层的四个输出接入即可.

大同市13950747839: 1.用双四选1数据选择器74LS153和反相器74LS04实现1位全加器; 2.用...
邓琴百合: 74ls153双4选数据选择器种单片数据选择器/复工器每部都倒相器驱器使与或非门完全互补片二进制译码数据进行选择两4线部各选通输入用74ls153设计一个红绿灯故障检测器

大同市13950747839: 验证74ls153功能时,排除故障的一般步骤是什么 -
邓琴百合: 这个片子是双路四选一的选择开关,这个故障很好排除的,首先用万用表检查电源和地是否正常,然后检查控制端口的状态,根据真值表就可以确定是哪一路开通了,然后用万用表电压档测量输入和被选择的输出通道的值是否相同,然后可以改变控制端口的状态,测试各个通道的情况,如果存在一个通道有问题,那么该芯片的故障点就找到了.

大同市13950747839: 求教:利用VHDL设计一个4选1数据选择器,然后用生成语句设计双四选一数据选择器 -
邓琴百合: 提问时间:2011-10-22 20:26:17 library ieee; use ieee.std_logic_1164.all; entity xzq4 is port(i0, i1, i2, i3:in std_logic_vector(15 downto 0); s0, s1: in std_logic; y : out std_logic_vector(15 downto 0)); end xzq4; architecture rtl of xzq4 is signal sel : std_...

大同市13950747839: 用数据选择器74ls153和门电路设计1位二进制全减器电路 -
邓琴百合: 用数据选择器 74LS153 和门电路设计 1 位二进制全减器电路. 全减器的功能,是:CyD = A-B-C. 式中,A、B、C:是输入的三个一位数.Cy、D :输出两位数 ,分别是“借位”和“差”. 1. 根据功能要求,列出功能真值表. 2. 选用输入...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网