用4选1数据选择器实现逻辑函数。

作者&投稿:宇文京 (若有异议请与网页底部的电邮联系)
用4选1数据选择器74LS153加必要的门电路实现逻辑函数~

如图所示:
Y1=(A'B')*C'D+(A'B)*C'D'+(AB')*C'D'+(AB)*CD。
Y2=(A'B')*CD'+(A'B)*0+(AB)'*1+(AB)*1。
在所有参数中的任意一个逻辑值为真时即返回TRUE(真)。
语法表示为:OR(logical1,logical2,...)。参数Logical1,logical2,...是需要进行检验的1至30个逻辑表达式,其结论分别为TRUE或FALSE。
如果数组或引用的参数包含文本、数字或空白单元格,它们将被忽略。如果指定的区域中不包含逻辑值,OR函数将返回错误#VALUE!。
实例:如果A1=6、A2=8,则公式“=OR(A1+A2>A2,A1=A2)”返回TRUE;而公式“=OR(A1>A2,A1=A2)”返回FALSE。



扩展资料:
布尔表达式为:
F=A⊙B= A⊕B B
符号“⊙”表示同或运算,即两个输入变量值相同时F=1。
工程应用中,同或运算用同或门电路来实现,它等价于异或门输出加非门。
小结:在基本逻辑运算中,与、或、非三种运算是最本质的,其他逻辑运算是其中两种或三种的组合。
参考资料来源:百度百科-逻辑函数


化简成类似上面的表达式:F=(A'B')C'D'+(A'B')D+(AB')C'D+(AB)C
所以:A0=A,A1=B,D0=C'D',D1=D,D2=C'D,D3=C

1、通过电气画布右键菜单,或者快捷键ctrl+W,进入元器件库进行选型。

2、我们选择一个数据选择器和一个反相器(非门)。

3、依次通过:simulation——instrument——logic converter;添加一个逻辑转换器到画布上。

4、将以上选择好的元器件,按照电气原理图进行连接。

5、连接完毕后,我们双击logic converter的icon,此时弹出属性窗口。

6、我们点击第一个按钮,此时会发现输入输出列表均有了数值。



把函数式化成上面结构的表达式:

Y=AB'D+A'BC'D+BC+B'CD'     【如下图,各项为绿色圈标注的】

=A'B'CD'+A'B(C+D)+AB'(C+D)+ABC  【各项为红色圈标注的】


把A接在A0端,B接在A1端,C接到D3端,

C,D接一个或门,或门输出端同时接到D1和D2端,

D接一个非门后和C接一个或门,或门输出到D0端。 

这样,就完成了。大概是这样的吧!




利用4选1数据选择器(74LS153)设计一个3人表决器电路
要设计一个3人表决器电路,我们可以利用4选1数据选择器(74LS153)进行构造。首先,74LS153是一种双四选一数据选择器,其工作原理是通过两层结构实现。底层四个数据选择器,每个选择器的地址输入A1和A0分别连接到数据输入端的A1A0线上。高层数据选择器的地址输入A3和A2用来决定选择哪一层的数据,四个...

怎样用Verilog实现4选1数据选择器
4选1数据选择器使用两位地址码A1A2产生4个地址信号,由A1A2等于“00”、“01”“10”“11”来选择输出。输入信号:4个数据源d0、d1、d2、d3。两位地址码a[1..0];使能端g。输出信号:输出选择则端y。真值表如下:程序代码:module mux4(y,d0,d1,d2,d3,g,a);output y;input...

试用4选1数据选择器74LS153实现逻辑函数F=A非B+BC
f=a'bc+b'c+ac'+a =a'bc+(a+a')b'c+a(b+b')c'+a(b+b')(c+c')=a'bc+ab'c+a'b'c+abc'+ab'c'+abc abc为数据选择位。以上计算结果转换过来就是:m1,m3,m4,m5,m6,m7。因此所对应的d1,d3,d4,d5,d6,d7都应接1,而其余接0,便可满足y端输出daoa'bc+b...

用4选1数据选择器74ls153实现三输入变量的奇偶校验电路.当三个输入端...
在电路设计中,74LS153这种4选1数据选择器能巧妙地实现三输入变量的奇偶校验功能。它的工作原理是通过地址输入信号A1和A0来决定数据输出,当输入的三个信号A0、A1和D0、D1、D2、D3中有奇数个为1时,输出将为高电平,反之则为低电平。这种选择器不仅能够完成数据的选择任务,还能够作为组合逻辑电路的...

试用4选1数据选择器实现逻辑函数 F(A,B,C,D)= ∑m(0,5,7,9,14,15...
化简成类似上面的表达式:F=(A'B')C'D'+(A'B')D+(AB')C'D+(AB)C 所以:A0=A,A1=B,D0=C'D',D1=D,D2=C'D,D3=C

用4选1数据选择器74ls153实现三输入变量的奇偶校验电路.当三个输入端...
在电子电路设计中,数据选择器如74LS153是一个重要的元件,用于根据特定的地址输入信号选择多个输入数据中的一路输出。例如,4选1数据选择器需要两个地址输入线,通过这2位地址,可以组合成4种不同的选择,对应选择器的4个输入端中的一个数据输出。更进一步,数据选择器的灵活性使其能实现复杂的逻辑...

用4选1数据选择器74ls153实现三输入变量的奇偶校验电路.当三个输入端...
在数据选择器中,通常用地址输入信号来完成挑选数据的任务。如一个4选1的数据选择器,应有2个地址输入端。共有2z=4种不同的组合,每一种组合可选择对应的一路输入数据输出。同理对一个8选1的数据选择器,应有3个地址输入端。此外数据选择器还广泛用于产生任意一种组合逻辑函数。在图示电路中,若将Y...

真正的数据选择器是如何实现的
1、数据选择器的工作原理 常用的数据选择器有4选1、8选1、16选1等多种类型。下面以4选1数据选择器为例介绍数据选择器的工作原理。根据前面介绍的数据选择器的功能,可以列出4选1数据选择器的逻辑功能表,如表5-7-1所示。其中D0~D3为数据输入端,A0、A1为数据选择端。表5-7-1 4选1数据选择...

试用4选1数据选择器74LS153实现逻辑函数如图
Y=AB'C'+A'(B+B')C'+(A+A')BC =AB'C'+A'BC'+A'B'C'+ABC+A'BC =A'B'*C'+A'B*1+AB'*C'+AB*C A1接A,A2接B,D3接C,D1接高电平,C加个非门后接D0和D2。

如何利用两个四选一数据选择器实现一个数组
步骤如下:1、将两个四选一数据选择器的VCC和GND引脚连接至电源正负电极,进行电源连接。2、将第一个四选一数据选择器的输出端S0输入连接到第二个四选一数据选择器的控制端S1输入,以实现控制输入信号的选择。3、将8个需要选择的输入信号分别接到两个四选一数据选择器的输入端Y0-Y3,以实现数据输入...

大通区13285491850: 用四选一数据选择器实现逻辑函数∏M(0,6,7), -
廉温利血:[答案] 先转化为最小项组成的标准与或式,即∑m(1,2,3,4,5), 其逻辑表达式为F = A'B'C+A'BC'+A'BC+AB'C'+AB'C,(其中A' ,B' ,C' 表示A,B,C的反变量) 将其转化为F = A'B'(C) + A'B(1) + AB'(1) + AB(0); 而4选1数据选择器的逻辑式为 F = A1'A0'D0 + ...

大通区13285491850: 用4选1数据选择器实现逻辑函数. -
廉温利血:[答案] 把函数式化成上面结构的表达式:Y=AB'D+A'BC'D+BC+B'CD' 【如下图,各项为绿色圈标注的】=A'B'CD'+A'B(C+D)+AB'(C+D)+ABC 【各项为红色圈标注的】把A...

大通区13285491850: 用四选一数据选择器实现逻辑函数Y=AB'+AC',D1 D2 D3取值分别是多少.如题. -
廉温利血:[答案] 要先转化成最小项标准与或式,这里要用到互补性质就是A+A'=1,即A或A' 恒等于1.同理B+B'=1,C+C'=1,这样代入到原逻辑表达式Y=AB'+AC'=AB'(C+C')+AC'(B+B')=AB'C+AB'C'+ABC'+AB'C'=AB'C'+AB'C+ABC'=Σm(4,5,6).用4选1数...

大通区13285491850: 用四选一数据选择器实现逻辑函数F2=AB+AC+BC -
廉温利血: (1)可知 Y=D0(A2'A1'A0')+D1(A2'A1'A0)+D2(A2'A1A0')+D3(A2'A1A0)+D4(A2A1'A0')+D5(A2A1'A0) +D6(A2A1A0')+D7(A2A1A0) 对应的F=A'C+A'BC'+AB'C' 即Z=0*(A'B'C')+1*(A'B'C)+1*(A'BC')+.....+1*(ABC')+0*(ABC) 故可知D0=D2=D3=D5=D7=0,D1=D4=D6=1 A2=A,A1=B.A0=C. (2) 由(1)同理得 D=D1=D4=1 D'=D2=1 D3=1 D0=D5=D6=D7=0 A2=A A1=B A0=C

大通区13285491850: 试用4选1数据选择器74LS153实现逻辑函数F=A非B+BC -
廉温利血: f=a'bc+b'c+ac'+a =a'bc+(a+a')b'c+a(b+b')c'+a(b+b')(c+c') =a'bc+ab'c+a'b'c+abc'+ab'c'+abc abc为数据选择位.以上计算结果转换过来就是:m1,.因此所对应的d1,d3,d4,d5,d6,d7都应接1,而其余接0,便可满足y端输出daoa'bc+b'c+ac'+a要求的...

大通区13285491850: 用四选一数据选择器实现逻辑函数Y=AB'+AC'D1 D2 D3取值分别是多少 -
廉温利血: Y=AB'+A(B+B')C'=AB'+AB'C+ABC'=AB'*1+AB*C'A1=A, A0=B, D0=0, D1=0,D2=1,D3=C'

大通区13285491850: 四选一数据选择器如图所示,若A=B=C=1,该电路实现的逻辑函数为F...
廉温利血: 如图所示: Y1=(A'B')*C'D+(A'B)*C'D'+(AB')*C'D'+(AB)*CD.Y2=(A'B')*CD'+(A'B)*0+(AB)'*1+(AB)*1. 在所有参数中的任意一个逻辑值为真时即返回TRUE(真). 语法表示为:OR(logical1,logical2,...).参数Logical1,logical2,...是需要进行检...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网