请网友帮忙4人抢答器数字逻辑电路

作者&投稿:叔磊 (若有异议请与网页底部的电邮联系)
简单的4人抢答器制作原理和电路图~

就按照这个图接线就可以,我只给你画出1和4的控制回路,2和3的你自己也动动脑筋举一反三对比着画。

1)设计任务
设计一台可供4 名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由9倒计到0时,无人抢答,蜂鸣器连续响1 秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1 秒,倒计时停止。
2)设计要求
(1)4 名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。
(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。
(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。
(4)抢答器具有定时(9 秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1 秒。参赛选手在设定时间(9 秒)内抢答有效,抢答成功,扬声器响,音响持续1 秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。
(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1 秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。
(6)可用石英晶体振荡器或者555 定时器产生频率为1Hz 的脉冲信号,作为定时计数器的CP 信号。



找到一个设计,可是我这里下不了,你去百度搜“DIY自制四路抢答器,含电路图、元件清单、实物图”百度第一个应该就是,你看看能不能有帮助....

试试我设计的电路,

见图:



用四个可控硅可实现,先给你一份图参考,注意收一下


四人抢答器电路图
四人抢答器电路图如下:在知识竞赛、文体娱乐活动(抢答赛活动)中,能准确、公正、直观地判断出抢答者的座位号。传统抢答器只是大概判断出抢答成功或犯规选手台号,无法显示出每个选手的抢答时间。而今抢答器可以通过数据来说明裁决结果的准确性、公平性。使比赛大大增加了娱乐性的同时,也更加公平、公正。

求帮设计一个四人抢答器
我的 求帮设计一个四人抢答器 要求(1)抢答前先清零,Q1~Q4均为0,相应的发光二极管LED都不亮;~均为1,与非门G1输出为0。同时,G2输出为1,将G3开通,时钟脉冲CP可以经过G3进入D触发器的CP端。此时,由于S1~S4均... 要求(1)抢答前先清零,Q1~Q4均为0,相应的发光二极管LED都不亮;~均为1,与非门G1输出为0...

四人抢答器如果设计?
1、 设计制作一个可容纳4组的数字式抢答器,每组设置一个抢答按钮供抢答者使用。2、 根据数字式抢答器的功能和使用步骤,设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。3、 设计定时电路,声、光报警或音乐片驱动电路。4、 设计控制逻辑电路,启动、复位电路。我的 设计内容 1.设计...

四人抢答器可以运用到那些方面
抢答器很广泛的用于电视台、商业机构及学校,为竞赛增添了刺激性、抢答器很广泛的用于电视台、商业机构及学校,为竞赛增添了刺激性、 抢答器很广泛的用于电视台、商业机构及学校,为竞赛增添了刺激性、 娱乐性,在一定程上丰富了人们的业余生活。

四路抢答器意义和影响
1、提高课堂互动性:四路抢答器可以激发学生的参与热情,增强课堂互动性,让学生更加积极地参与到课堂中来,提高教学效果。2、增强学生竞争意识:四路抢答器可以让学生在抢答环节中体验到竞争的乐趣,增强学生的竞争意识,激发学生的学习动力。3、提高课堂效率:四路抢答器可以让老师更加高效地进行课堂管理和...

四路抢答器的电路原理图谁帮忙给个谢谢
例如,S2被先按下时,V T2受触发而导通,VL2点亮,VL5熄灭,HA发声,表明第2路抢答者抢答成功。此时再按其他按钮无效。随后主持人按动一下电源开关SO(兼复位按钮),施密特触发器断电后复位,VT2截止,V L2熄灭,IC的3脚又输出高电平,HA婷止发声,VL5点亮,开始下一轮抢答。该电路为四路抢答器...

求一份数字电路课程设计,4人抢答器(用Multisim)
1)设计任务 设计一台可供4 名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由9倒计到0时,无人抢答,蜂鸣器连续响1 秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1 秒,倒计时停止。2)设计要求 (1)4 名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,...

急求四人抢答器vhdl语言编的 !!高手速来帮忙!!
port( S1,S2,S3,S4 : in std_logic; --输入:表示4个人 S5 : in std_logic; --主持人按键 D1,D2,D3,D4 : out std_logic --抢答结果显示 );end exp5;--- architecture behave of exp5 is signal Enable_Flag : std_logic;signal S : std_logi...

设计一个四组人参加的竞赛抢答器
(1)5s可以用一个计数器,主持人下达命令可以看做是按一个开关给计数器使能,计数器开始计时,计时5s后计时器停止。(2)整个系统设四个输入,每个输入代表一个人,每个输入连接一个7段显示译码器,后面接7段译码管,用来显示时间30s,每个人按下自己的开关时,自己的7段译码管使能工作,并使其他人...

急求四人抢答器vhdl语言编的 !!高手速来帮忙!!
--主持人按键 D1,D2,D3,D4 : out std_logic --抢答结果显示 ); end exp5; --- architecture behave of exp5 is signal Enable_Flag : std_logic; signal S : std_logic_vector(3 downto 0); begin process(S1,S2,S3,S4,S5) --允许抢答控制 begin S<=S1&S2&S3&S4; if(S5='...

荆门市19152834917: 数字电路课程设计 设计一个四人抢答器,要求如下: -
剧菡尿促: 我曾经做过一个当时的要求如下一 设计任务 数字式竞赛抢答器二 设计条件 本设计基于学校实验室Multisim8.0仿真软件和计算机. 三 设计要求 1、 设计制作一个可容纳4组的数字式抢答器,每组设置一个抢答按钮供抢答者使用. 2、 根据数字...

荆门市19152834917: 数字电路抢答器设计 -
剧菡尿促: 用数字电路实现抢答器 一、设计目标 设计一个带有用户选手按下后,其他用户选手按下无效,同时,响警报、显示是谁按下的.由主持人开关复位的抢答器. 二、 基本功能 我设计的抢答器有如下功能:有人按下时,显示是谁按下的.同时,其...

荆门市19152834917: 数字逻辑竞赛抢答器设计 -
剧菡尿促: 这种设计出来的抢答器看看是采用那种电路如果使用数字电路就没有公平性!同时抢答时是固定显示一个号位,建议使用MCU这样做出的抢答器是合格的抢答器.

荆门市19152834917: 求一四路抢答器电路图的设计 -
剧菡尿促: 数字电子技术课程设计一.课程设计的题目:四人抢答器. 二.设计任务和要求: 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器. 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒.选手抢答时,数...

荆门市19152834917: 三路抢答器的工作原理是什么? -
剧菡尿促: 其实就是三个带有互锁功能的数字逻辑电路.只要简单的与非门电路加上几个触发器就可以实现了.电路组成:三个触发器都有4个输入信号,输入信号一路连接每队抢答按钮,一路连接主持人的抢答允许开关,还有两路分别接到另外两个抢答...

荆门市19152834917: 数字抢答器的设计 -
剧菡尿促: 抢答器的设计与制作 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识.因此学习了数字电路之后,自己设计一个简易智力竞赛抢...

荆门市19152834917: 哪位高手给个交通灯、、抢答器的数字电路? -
剧菡尿促: 1. 任务与要求 设计一个十字路口的红、绿、黄三色信号交通灯控制电路,具体要求如下: 1)用红、绿、黄三色发光二极管作信号灯.主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯.红灯亮禁止通行;绿灯亮...

荆门市19152834917: 请各路大师教我怎么用AT89C51做抢答器,最好是用C语言写的程序.我急急急,拜托好心人了 -
剧菡尿促: 这是我这里的抢答器,你可以对照着看看#include<reg51.h> sbit key1=P3^0;//定义按键位置 需要切换到独立按键模式 sbit key2=P3^1; sbit key3=P3^2; sbit key4=P3^3;/******************************************************************//* 主函数 *//*******...

荆门市19152834917: 三人抢答器逻辑电路原理及电路图 -
剧菡尿促: 用一般的中间继电器就可以实现,原理是三个中间继电器互锁,任意一个继电器闭合后其他两个继电器均不能闭合,而闭合的继电器控制灯泡点亮.

荆门市19152834917: C语言在编写八路抢答器,共10个按钮,一个启动,一个停止,八个抢答按钮.用AT89C51控制,最好有原理图 -
剧菡尿促: 其实,需要补充的还很多,比如,出现了抢答,要有显示,无论是数字还是灯光.其实,简单的逻辑电路也可以实现,就是复杂了些.如果用单片机实现,跟键盘一样,这里面需要有一个去抖动的算法,但是,如果两个或以上抢答按钮同时出现,这里面就会变得比较复杂.原理图应该非常简单,找一个口做8个按钮的输入,比如P0.另外,比如P1,应该用一个口的8个输出用来显示谁抢到了,或者,用数码管,好在管脚的数量没什么区别.最后,再找一个口,就是开始、结束按钮,并且,这里面应该有蜂鸣器,提示开始、结束、有人抢答.关键的问题在去抖动的算法上,而不在原理图上.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网