怎么用4*4键盘和4位数码管设计一个电子密码锁?

作者&投稿:地肩 (若有异议请与网页底部的电邮联系)
怎么用4*4键盘和4位数码管设计一个电子密码锁?急!!~

很简单的,需要程序吗

dispcode,hibitflag,pslen这是自己定义的普通变量,用来存放一个数据的
而ps[6],getsps[6],dispbuf[8]是自己定义的数据变量,用来存放一组数据的,前面两个可以存放6个数据,后面一个可以存放8个数据
你要是读不懂你的程序可以发到网上来,让人家帮你注释一下

用4*4键盘和4位数码管设计一个电子密码锁,可用proteus 仿真来实现,画出仿真图测试,用四位一体共阳数码管,P3口接4*4矩阵键盘。仿零效果如下图。




怎么用4*4键盘和4位数码管设计一个电子密码锁?
用4*4键盘和4位数码管设计一个电子密码锁,可用proteus 仿真来实现,画出仿真图测试,用四位一体共阳数码管,P3口接4*4矩阵键盘。仿零效果如下图。

如何利用51单片机4*4矩阵键盘和1602液晶实现四位(或者多位)的显示问...
\/\/按4X4键盘的F键 进入设定状态 \/\/E D 键是前后移动键 \/\/C按键是调整数值按键 include "reg52.h"define uchar unsigned char sbit RW=P2^1;sbit RS=P2^0;sbit E=P2^2;bit at=0;uchar code shen[]={"CLOCK!"};uchar code word[]={0x30,0x31,0x32,0x33,0x34,0x35,0x36,0x37,...

如何用cad画出4*4矩阵键盘和16个LED灯,越详细越好,谢谢!
是这样么,如果不是请手画拍照传上来

求 关于 用单片机和4*4矩阵键盘输入数字并在LCD1602上显示出来的C语言...
include<reg51.h> include<intrins.h> define uint unsigned int define uchar unsigned char uchar code table[10] = {0x03, 0x9f, 0x25, 0x0d, 0x99, 0x49, 0x41, 0x1f, 0x01, 0x09};\/\/这三个引脚参考资料 sbit E=P2^7;\/\/1602使能引脚 sbit RW=P2^6;\/\/1602读写引脚 sbit RS...

一个4*4键盘控制两块单片机的问题!!!求教高手!!!
可以尝试下面的思路(假设4*4矩阵键盘,4根行线接P1.0~P1.3口,4根列线接P2.0~P2.3口键盘扫描机制:列线分别顺序输出0111、 1011、 1101、 1110,分别在相应时刻读入P1口状态)1、P2口全部输出高电平 2、读入P2口状态,有0则说明另一台单片机在扫描,则退出,等待一段时间再扫描。3、将...

arduino 4*4矩阵键盘 怎么用
4*4矩阵键盘有一个8孔的排母,理论上可以直接插到0-7脚上,但0,1脚用于串口通信,所以只能选择2~13脚,这里选用了2-9脚。首先,选取一个16 PIN 的双排针,将双排针长的那一排的一面引脚插到键盘排母里 另一面插8P线,8P线另一头按键盘正面从左到右的顺序,线接2 PIN排针,再接5 PIN排针...

单片机的简易计算器
多功能单片机计算器是一个实现加减乘除的和时间功能的计算器,主要的硬件组成由,一个AT89s52单片机芯片,一个LED液晶(1602液晶),一个4*4键盘,和4个特殊功能按键。一个时钟芯片(DS1302),一个蜂鸣器。单个硬件模块个的介绍 AT89S52:主要控制芯片,它是由8kflash,256BRAM,6个中断源,详情参考...

4*4矩阵键盘扫描原理是什么
4*4矩阵键盘扫描原理是指,将4行4列的按键排列成一个4*4的矩阵,然后通过控制4行4列的电路,按照一定的顺序,依次将每行每列的电路置于高电平或低电平,从而检测每行每列的电路是否有按键被按下,从而实现按键的扫描。

单片机4*4矩阵键盘中的4*4指的是什么,是横四个竖四个的意思,还是键盘的...
1、4*4=16,总共16个按键,并且按照4行,4列的硬件接法,占用8个IO管脚。采用行扫描或反转法读取键值。但该硬件电路接法并不影响实际的布局,你当然可以摆成横2*竖8,甚至更多形式。2、同样16个按键,2*8也可,2行,8列硬件接法。但是会多用管脚。所以通常采用最少IO矩阵设计。3、按键的PCB...

复用4*4键盘电路实际意义是什么
4*4键盘电路是一组按压式开关的集合,是微机系统不可缺少的输入设备,用于输入数据和命令。键盘的每一个按键都被赋予一个代码,称为键码。键盘系统的主要工作包括及时发现有键闭合,求闭合键的键码。根据这一过程的不同,键盘可以分为两种,即编码键盘和非编码键盘。编码键盘是通过一个编码电路来识别...

方山县13562552808: 求由51单片机控制4x4键盘输入,4个数码管(采用动态扫描)显示 C语言编程思路! -
刁类六维: 可以这样写,先计算按了几下了,按下若小于4次,让四个段选端前几个端导通,按下数超过或等于4次你就可以用扫屏的方式来显示就好了.比如第一下按的是8你就可以设置if和else语句组合啊!先有个数组d[]={0xf7;0xfb;0xfd;0xfe}//段选,按了几下设为变量uint a//无符号字符型,if(a<4){if(a=1)P2=d[a-2];P1=data[8];delay();········if(a-1){··········}if(a-2){··········}};else(············)太多了我就不写了.

方山县13562552808: 求一个用汇编语言编写的4*4矩阵键盘的程序,且显示输入的数字在四位数码管上, -
刁类六维: 4*4矩阵键盘的程序,且显示输入的数字在四位数码管上 --太普通了.--任何单片机的教材上,一般,都有这样的程序和电路.

方山县13562552808: 如何扩展4*4矩阵键盘和数码管 步骤是什么呢? -
刁类六维: 增加的时候,同时增加选通信号就可以了,4*4需要4+4的信号,如果4*5,就需要4+5=9的信号,扩展,说白了就是增加控制信号,采用2进制

方山县13562552808: 单片机4*4键盘C程序实现数码管显示对应数字 -
刁类六维:供你参考. #include #define uint unsigned int #define uchar unsigned char sbit dula=P3^6; sbit wela=P3^7; uchar key; uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71}; void delay(...

方山县13562552808: 你好,你的“4*4矩阵键盘控制四位数码管显示单片机C语言程序:”是咋做的 -
刁类六维: uchar i,j,RLP,num=0; for(i=0;i<4;i++){ LINP=(LINP|0xf0)&(~(0x10<<i)); Delay(1); for(j=0;j<5;j++){ RLP=(ROWP|0x1f)&(~(0x10>>j)); if(ROWP==RLP){ Delay(2); if(ROWP==RLP) while(ROWP==RLP); num=i*5+j+1; } } } 这个是5*4 的矩阵键盘,LINP和ROWP分别为单片机的I/o口,可自己随便设定.你把num值返回出来就可以处理了

方山县13562552808: 大侠帮忙整个4*4矩阵键盘的程序,简单易懂的,数码管显示键值 -
刁类六维: #include<reg52.h> unsigned char code table1[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0x88,0x83,0xC6,0xA1,0x86,0x8E}; unsigned char code table2[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80}; unsigned char code table[]={0,...

方山县13562552808: 帮我改成4*4矩阵键盘控制 4位共阴极数码管显示! 51单片机 -
刁类六维:#include #define uchar unsigned char uchar tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71}; //0到f uchar keyval; uint x; void delay(uchar a) {uchar i,j;for(i=0;i for(j=0;j<125;j++); } uchar kbscan(...

方山县13562552808: 制作4*4键盘及4位数码管显示构成的电子密码锁需要哪些元器件??? 急!!!! -
刁类六维: 4*4键盘,三极管(驱动数码管),4位数码管,还有继电器或者其他相当于开关的元件,

方山县13562552808: 求助!求大神能帮我编一个c语言程序,通过数字编码实现4*4键盘对51单片机的输出,键盘输什么数码管就显示 -
刁类六维: #include<reg52.h>#define uint unsigned int#define uchar unsigned char sbit dula=P2^6; sbit wela=P2^7; sbit key1=P3^4; uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0}; uchar num,temp,...

方山县13562552808: 求VHDL4*4行列式键盘扫描及显示电路设计程序? -
刁类六维: 用VHDL语言设计实现一个4*4键盘扫描电路,同时扫描的键值能通过数码管显示出来

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网