3线-8线译码的工作原理是什么?

作者&投稿:东郭叙 (若有异议请与网页底部的电邮联系)
~

3线-8线译码是译码器主要是把输入的二进制码翻译成输出信号。

3线-8线译码的输入输出有效用极性指示符表示,同时极性指示符又标明了信号方向。74138的三个输入使能(又称选通ST)信号之间是与逻辑关系。

EN1高电平有效,EN2A和EN2B低电平有效。只有在所有使能端都为有效电平(EN1EN2AEN2B=100)时,74138才对输入进行译码,相应输出端为低电平,即输出信号为低电平有效。

扩展资料:

注意事项:

1、若编码规则相同,则Matlab的编码器和硬件应完全对上,因为是单纯的0与1的逻辑运算,两者无差别。

2、译码使用的是软信息,而matlab内部的运行规则是浮点的,而硬件是纯定点的,所以在超出译码能力的情况下,软硬件的译码输出结果可能是不一样的。

3、做通信系统时不能局限于某一模块,一定要回归系统、回到Matlab仿真找问题。单个模块与软仿对得上系统却有误码,要么是各自对照的软仿不是来自同一系统(同一个版本),若是同一版本,那么该版本的软仿很大概率也是错的。(相应的,硬件的一处小改动也要告知软仿)

参考资料来源:百度百科-译码器

参考资料来源:百度百科-输出波形




3线-8线译码的工作原理是什么?
3线-8线译码是译码器主要是把输入的二进制码翻译成输出信号。3线-8线译码的输入输出有效用极性指示符表示,同时极性指示符又标明了信号方向。74138的三个输入使能(又称选通ST)信号之间是与逻辑关系。EN1高电平有效,EN2A和EN2B低电平有效。只有在所有使能端都为有效电平(EN1EN2AEN2B=100)时,74...

3线8线译码器是按照什么原理工作的?
3--8线译码器输入的A2A1A0=110为十进制数6,所以对应的输出应该为最小项m6',即输出应为11111101。3--8线译码器的计算机原理:ABC三个输入,每一个输入,拆分成2路,对应到1和0。通过一个非门来实现。一个有6个线路,123456,有8个输出,每一个输出,对应3个线路。

1、3线—8线译码器的功能是什么? 2、n变量完全译码器能实现什么样的组合...
74LS138就是三线八线译码器,其功能是将二进制译成十进制,三线八线表示输入有三端,输出有八端输入端口为:A0,A1,A2,输出为:Y0,Y1,Y2,Y3,Y4,Y5,Y6Y7例如:A0=0,A1=1,A2=1,表示:110B=6D,这时输出端Y6=0,其他等于1 uiazhaochao | 发布于2010-10-28 举报| 评论 7 0 为您推荐: 双2线-4线译...

3-8译码器的功能是什么?
3、3-8线译码器是一种全译码器(二进制译码器)。全译码器的输入是3位二进制代码,3位二进制代码共有8种组合,故输出是与这8种组合一一对应的8个输出信号。

单片机三个八线怎么接
74LS138译码器三个输入对应八个输出。具体如下;74ls138工作原理74LS138为3线-8线译码器,共有54\/74S138和54\/74LS138两种线路结构型式,其工作原理如下:1、当一个选通端(E1)为高电平,另两个选通端((\/E2))和(\/E3))为低电平时,可将地址端(A0、A1、A2)的二进制编码在Y0至Y7对应的...

怎样利用两个3-8线译码器实现4-16线译码器的功能?
1. 了解3-8线译码器和4-16线译码器的基本功能 3-8线译码器有3个输入端和8个输出端,它可以将3位二进制代码转换为8位输出中的一位为低电平(0),其余为高电平(1)。同样,4-16线译码器有4个输入端和16个输出端,可以将4位二进制代码转换为16位输出中的一位为低电平,其余为高电平。2....

译码器的工作原理
译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。在图1中,74138是一种3线—8线译码器 ,三个输入端CBA共有8种状态组合(000—111),可译出...

74ls138引脚图及功能表
若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器。3线-8线译码器74LS138的功能表:无论从逻辑图还是功能表我们都可以看到74LS138的八个输出引脚,任何时刻要么全为高电平1—芯片处于不工作状态,要么只有一个为低电平0,其余7个输出引脚全为高电平1。如果出现两个输出引脚同时为0的情况...

译码器与数据选择器有哪些应用?举例说明
数据选择器的应用:在数字信号传输过程中,从一组输入数据中选出一个。可以用来设计组合逻辑电路。举例说明:1、译码器设计组合逻辑电路:利用3线-8线译码器74HC138可以设计一个多输出的组合逻辑电路。2、在单片机系统中译码法寻址:利用74HC138或74HC139译码器,作为对存储芯片的片选信号分别选通各个芯片。

如何将两片8线译码器扩展为4线译码器?
为了将两片3线-8线译码器CT74138扩展为4线-16线译码器,我们需要将第一片译码器的输出作为第二片译码器的输入,同时确保第一片译码器的使能端处于活动状态。1. 连接方式 首先,我们需要了解CT74138译码器的基本工作原理。该译码器有3个地址输入端(A0、A1、A2)、8个输出端(Y0至Y7)以及3个使能...

七星区13177399532: 3 - 8译码器的工作原理 -
汉灵恩格: 3-8译码器的功能就是把输入的3位2进制数翻译成10进制的输出.简单介绍: 3-8译码器的输入是3个脚,输出是8个脚.用高低电平来表示输入和输出. 1、输入是二进制.3只脚也就是3位二进制数.输入可以3位二进制数.3位二进制最大是...

七星区13177399532: 译码器的工作原理是怎么样子的? -
汉灵恩格: 译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号.有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码. 在图1中,74138是一...

七星区13177399532: 3 - 8译码器原理图实现方式的流程是什么? -
汉灵恩格: 三八译码器原理 就是把3位二进制码 转换为8个一位2进制码的元件.也就是说3-8译码器的输入是3位二进制码3条脚(定义为A0、A1、A2), 输出是8条脚(定义为Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7). 真值表如下输入 A0 A1 A2 输出 Y0、Y...

七星区13177399532: 芯片74LS138有什么用? -
汉灵恩格: 原发布者:28366915374ls138译码器74ls138译码器内部电路逻辑图功能表简单应用74HC138:74LS138为3线-8线译码器,共有54/74S138和54/74LS138两种线路结构型式,其74LS138工作原理如下:当一个选通端(G1)为高电平,另两个选...

七星区13177399532: 大家帮忙给解释下译码器的原理功能吧?38译码器(74LS154,74HC138)的功能是什么啊??有详细的资料吗?? -
汉灵恩格: 用法:通过三位二进制数来控制输出低电平.原理:有三个选通端,只有当选通端为100时138才工作,每一个二进...

七星区13177399532: 模电实验总结74LS138译码电路功能 -
汉灵恩格:[答案] 74ls138功能介绍74ls138引脚图 74HC138管脚图:74LS138 为3 线-8 线译码器,共有 54/74S138和 54/74LS138 两种线路结构型式,其工作原理如下: 当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低...

七星区13177399532: 谁可以帮我分析一下这个简易彩灯控制电路的工作原理啊?帮帮忙啊 急求,谢谢.谢谢. -
汉灵恩格: 工作原理是这样的,555构成多谐振荡器,在3脚输出方波;4040是12位的二进制计数器,变化范围12个0(低电平)到12个1(高电平);74ls138是3--8线译码器(低电平有效),当输入端C、B、A是0、0、0时,输出端Y0=0(其它为1).当输入端C、B、A是0、0、1时,输出端Y1=0(其它为1)......当输入端C、B、A是1、1、1时,输出端Y7=0(其它为1).轮流点亮,形成流水灯.改变C、B、A与Q0---Q11的任意3脚的连接,可以变化流水灯的快慢和形式.

七星区13177399532: 用3线 - 8线译码器和门电路设计组合逻辑电路,使Y=BC+AB -
汉灵恩格: A0,A1,A2分别对应为A,B,C信号.假设A2是高位信号.由译码器原理可以知道,当AB=1时,即CBA输入为110或者111.这时Y6或Y7有效.同理,当BC=1时,即CBA输入为011或111.这时Y3或Y7有效.所以只要将Y3,Y6,Y7接在一个三端的或门上就行了

七星区13177399532: 用3线 - 8线和2线 - 4线构成6线 - 64线译码器???急!!!! -
汉灵恩格: 给你算一算帐,3线-8线译码器是8个译码输出,74LS138就是,要构成6线-64线译码器码,要用8片(8x8片)74LS138,还要用两片2线-4线译码器来选8片74LS138,这图怎么画,很庞大,但并不难,就是重复画相同的东西.那真值更是烦人,要64行的表格.都是重复做相同的事.实在是没有什么意义,这种电路明白原理,会做就行呗,干嘛非要做那麻烦的东西.

七星区13177399532: 3线 - 8线译码器与七段显示译码器有什么不同? -
汉灵恩格:[答案] 3线-8线译码是译码器主要是把输入的二进制码翻译成输出信号,七段显示译码器主要是将输入二进制码翻译成数字显示一般是译码器配合七段显示数码管使用

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网