逻辑运算设计,四个人的投票表决器,求表达式?

作者&投稿:何刻 (若有异议请与网页底部的电邮联系)
试设计一个四人表决器并可以实现相应逻辑功能。 就是利用逻辑电路,设计一个四人表决器:规则是当~

真值表


由真值表,我们可以知道:
F=ABCD+ABC+ABD+AB+ACD+AC+AD+BCD
化简可得:
F=AB+AC+AD+BCD
这就是我们的逻辑函数表达式了

4人表决器表决器好设计,用5个三输入的与非门就行了,五人表决器就要麻烦了,真值表好列,用逻辑代数或卡诺图求出简化的逻辑表达式并演化成与非的形式就不好化了,这个问题你还是想老师寻求一点帮助吧


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bj is
port(a:in std_logic_vector(4 downto 0);
y:out std_logic);
end bj;
architecture aa of bj is
signal x:std_logic; begin
x<=a(0)+a(1)+a(2)+a(3)+a(4);
process(a) begin
if x>2 then y<=’1’;
else y<=’0’;
end if;
end process;
end aa;

#include
sbit P10=P1^0;//表决人1
sbit P11=P1^1;//表决人2
sbit P12=P1^2;//表决人3
sbit P13=P1^3;//表决人4
sbit P14=P1^4;//表决人5
sbit P15=P1^5;//结果显示

int a=0;
int b=0;
int i=0;

void main()
{

while(1)
{
if(P10==0)
a++;
else
b++;
if(P11==0)
a++;
else
b++;
if(P12==0)
a++;
else
b++;
if(P13==0)
a++;
else
b++;
if(P14==0)
a++;
else
b++;
if(a>b)
P15=0;
else
P15=1;
a=b=0;
for(i=0;i<255;i++)
{}
}

}

主席是投票是A,其他人投票为B,不投票为0
两个条件并集,
if 结果字符数量>2, or 结果字符数量为2包含A
than 通过,
else 不通过。

列出真值表→得到输出逻辑表达式→化简(或直接由真值表采用卡诺图化简)→画出逻辑图。


C语言求解 设计一个四则运算的程序,从键盘输入计算式如:5*6-7+8\/2...
include <stdio.h> void main (){ int i=0,c,sum,a[1000];char b[1000];while(1){ scanf("%d%c",&a[i],&b[i]);i++;if(b[i-1]=='=') {c=i-1;break;} } sum = a[0];\/\/sum赋值应该在这里,这样就是从第一个数开始运算 for(i=0;i<c;i++){ printf("%d%c",...

设计一个实现四则运算表达式转换和求值的演示程序.
\/*演示程序是啥?这是我们的作业,中缀转后缀求值,比较粗糙,不知道是不,希望对你有用*\/ \/***本程序中在栈中多增加了一个top_temp指针,用来从栈底遍历栈***\/ include <stdio.h> include <malloc.h> include <stdlib.h> define INITSIZE 10 define SIZE 100 define OK 1;define ERROR 0...

四位二进制 算术运算和逻辑运算 eda实验
算术运算单元ALU的设计要求:1.进行两个四位二进制数的运算。2.算术运算:A+B,A-B,A+1,A-13.逻辑运算:AandB,AorB,Anot,AxorB注意:从整体考虑设计方案,优化资源的利用要求具体实... 算术运算单元ALU的设计要求:1.进行两个四位二进制数的运算。2.算术运算:A+B,A-B,A+1,A-13.逻辑运算:A and B,...

逻辑运算与四则运算
A+B是逻辑“或”的关系,只要有一个为真(也可以说只要有一个为1),结果就为1;A*B是逻辑“与”的关系,同时为真,结果才是真

C语言课程设计 小学生四则运算练习系统 源程序
include<stdio.h> include<stdlib.h> include<math.h> define maxsize 50 void trans(char str[],char exp[])\/*将算术表达式str转换成后缀表达式exp*\/ { struct { char data[maxsize]; \/*存放运算符*\/ int top; \/*栈指针*\/ }opr; \/*定义运算符栈*\/ char ch;int i=0,t=0; ...

四元组之间的运算程序
public class Test1 { public static void main(String[] args) { FourElements e1 = new FourElements(1, 2, 3, 4D);FourElements e2 = new FourElements(11, 22, 33, 44d);FourElements e3 = e1.calculate(e2);System.out.println("After calcualting, the result is: " + e3....

D5:计算机组成原理-算术逻辑单元(ALU的功能和基本结构、一位全加器...
算术逻辑单元(ALU)作为计算机的核心运算组件,负责执行加、减、乘、除和与、或、非、异或等逻辑运算,以及辅助操作如移位、求补。ALU的运作基于二进制逻辑和算术,其基本设计逻辑可简化为单位二进制加法。单位二进制加法涉及四位基础情况:0+0=0、0+1=1、1+0=1、1+1=0(进位1)。这种加法遵循...

用C语言设计能够完成多步四则运算的程序
1.可以实现连续的四则运算 2.可以实现输入式子的显示 3.可以方便计算个人所得税 4.鼠标、键盘均可输入数据 5.操作界面友好 6.击键可发声 构建该个计算器所需研究及解决的核心问题有如下几个:1、连乘求值?2、字符显示 3、键盘输入?4、击键发声?5、个人所得税法规,为了使大家对程序有更一...

二进制的逻辑运算
总结:二进制的逻辑运算是计算机中非常重要的基础知识之一。它通过与、或、非、异或等运算符对二进制数进行逻辑操作,实现了计算机中数据处理和控制流程的功能。了解二进制的逻辑运算规则和应用场景,对于理解计算机原理、数据处理和程序设计等方面都具有重要的意义。同时,二进制的逻辑运算也是计算机科学和...

VB应用单选按钮设计一个计算四则运算的程序,要求用文本框输入计算数...
Private Sub Command8_Click()x = Val(Text1.Text)Y = Val(Text2.Text)k = True Select Case k Case Option1.Value i = x + Y Case Option2.Value If Y > x Then a = x: x = Y: Y = a'此行代码功能是令大数减小数,去掉此行代码.i = x - Y Case Option3.Value i = x...

东胜区19658134735: 用集成块74ls00 74ls20各一块,能否设计出四人投票表决电路,为什么? -
店滕三鞭: F = ABC+ACD+ABD+BCD; 开始转化 F = AC(B+D)+BD(A+C) = AC(B'D')' +BD(A'C')' = (A'+C')' *(B'D')' +(B'+D')' *(A'C')' = [(A'+C')' *(B'D')' * (B'+D')' *(A'C')' ]'; 可以看到每一项变量都是 与非(包括自身与非),及或非. 扩展资料: 与非门是与...

东胜区19658134735: 用与非门设计一个四人表决电路
店滕三鞭: <p>设三个人 为ABC ,董事长为 D , 输出为P.给个图你看吧, 我也是学习,</p> <p></p>

东胜区19658134735: 请设计一个四输入多数表决电路:当4个输入端有3个或3个以上为1时,输出为1,否则输出为0,只写逻辑表达式 -
店滕三鞭: 也就是说,只要有一个0,输出就是0. 逻辑表达式,就是 四个输入各自的反,相加,取反.

东胜区19658134735: 试设计一个裁判表决器,(要求用组合逻辑电路设计) -
店滕三鞭: 假设A,B,C为组合逻辑的输入,Y为判决结果的输出,根据条件可以得出下面的逻辑表达式: Y=AB+AC+ABC;化简后得:Y=AB+AC 用两个二输入与门和一个二输入或门即可实现,具体接法: 第一个与门输入A和B,第二个与门输入A和C,两个与门的输出分别接或门的两个输入端,或门的输出即为判决结果的输出.

东胜区19658134735: 数字逻辑电路课程设计 八人表决器 表决器电路设计 急急急!!!! -
店滕三鞭: 总体设计:每人2个开关(同意、签到,签到不同意即为反对),输出3个灯(通过、否决、再议). 实现方法: 1、组合电路:16变量3输出组合逻辑设计,计算量太大,不嫌烦就慢慢算. 2、时序电路:两个8路可预置移位寄存器(通过、签到),锁存投票状态后移位输出至2个计数器,通过数大于3亮通过灯,签到数小于6亮再议灯,亮灯都不亮就亮否决灯. 3、逻辑阵列:用PLD、GAL都行,16路输入3路输出,编好逻辑写进去就行,就是不知道老师会不会咬你.

东胜区19658134735: 用PLC设计一个8人表决器 当有大于4个人同意时绿灯亮 刚好4个人时黄灯亮 小于4个人时红灯亮 要PLC图和梯形 -
店滕三鞭: PLC接线图:X0、X1、X2、X3、X4、X5、X6、X7接8个表决器触点 X10接选钮开关(接通时表决有效 Y0接红灯、Y1接黄灯、Y2接绿灯 梯形图:LDIX10 FNC40ZRSTY0:Y3 LDPX10 ORFX10 ZRST M0:M7 RST D0 LDX10 FNC27 WOR K2X0 K2M0 K2M0FNC43 SUM K2M0 D0 FNC10 CMP K4 Y0

东胜区19658134735: 3、四人表决器输入共有种组合 - 上学吧普法考试
店滕三鞭:[答案] library ieee; use ieee.std_logic_1164.all; entity qrbjq is port( a:in std_logic_vector(6 downto 0); --7个人 num:buffer integer range 0 to 7; --表决通过人数 co:out std_logic); --是否通过信号 end; architecture art of qrbjq is begin process(a) variable b:...

东胜区19658134735: 组合逻辑电路设计一个三人表决器,当表决某一提案时,只要两个人以上
店滕三鞭: 三人表决,两人以上同意则为通过,应该包含二人同意,否则就是只要有一人反对则不能通过;因为没有弃权选项,所以简单; 表决通过按钮按动时输出一个高电平,用三个两输入端与门,每个与门的两输入端均与其他两个与门的一个输入端并联,构成三个输入端,分别接通表决按钮,三个与门输出端连入一个三输入或门,或门输出端接通过显示; 当三个输入端任意两个或三个同时处于高电平时,总有一个或三个与门输出高电平,使得其后的或门输出高电平,驱动显示表决通过.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网