如何让51单片机与FPGA通信啊

作者&投稿:保狡 (若有异议请与网页底部的电邮联系)
fpga和51单片机之间怎么通信~

你这个设计对于51单片机来说,如果直接按照楼上的总线对接,pina和pinb那就是48哥引脚。51单片机有几个型号有6个port口啊。 个人建议:不考虑实时性的话。在FPGA上设计一个数据接口,SPI的。或者8pin串口加data clk的。 48个数据通讯的方式有个IC是AD9852的。也是MCU和IC通信,数据量为48bit。你可以参考设计一个FPGA上的通信io。

单纯这种资料是肯定没有的,这些都是实践一下就会了的。
单片机和fpga通信需要注意的地方:
1、电平要一样,不要一个3.3v,一个5v,这个在fgpa中应该可以设置的。
2、先定一个通信协议,就相当于二者通信要握手,比如,单片机要给fpga发送数据,要先发一个准备好信号,fpga接受之后要返回一个已接受信号,让单片机发下一个数据。就是类似这样的协议。
3、还有一个比较关键的,就是除燥,尤其是单片机的信号可能要送到fpga当时钟,更要除燥,否则出不来结果。
不要寄希望于资料了,自己实践一下才能真正掌握。

  最简单的通信模式就是自己写通信时序;

  自己随便定义读写管脚,不知对单片机和fpga掌握到什么程度,不一定非要用它本身的读写管脚,自己定义任意I/O口是最灵活的操作方式。



首先纠正你一个说法。FPGA并没有特定的逻辑电平,FPGA的每个BANK的电平标准取决于你的设定。例如,某个BANK你想用作LVTTL,那么在硬件设计的时候就要把相应的IObank的VCCIO连接到3.3V的电源上。单片机采用此种电平标准的多得是,你只要把它的引脚任意地连接到FPGA上即可。当然类似WR.RD.ALE等信号也要连接上,以方便FPGA知道何时采样。

51单片机一般是内部弱上拉,即单片机除了在总线方式外上拉强度不大,若用总线方式最好在中间串一个限流电阻(2K),在低电平时51和FPGA都一样,所以之间连没什么问题
至于通信问题,我最近就在用总线方式用的是8051f(3.3V),内部的数字模块都挂在总线上,通过片选或者地址加以区别

我用过,我用的CPLD中EPM240,它是3。3V的,与AVR单片机电平通信一点问题都没有。

我刚开始以为这是个大问题,后来试验过,不成问题

你试试吧,直接连


如何让51单片机与FPGA通信啊
最简单的通信模式就是自己写通信时序;自己随便定义读写管脚,不知对单片机和fpga掌握到什么程度,不一定非要用它本身的读写管脚,自己定义任意I\/O口是最灵活的操作方式。

怎么样用汇编语言能使51单片机显示0-F
#0LOOP: MOV A,R0 MOVC A,@A+DPTR MOV SBUF,A JNB TI,$ CLR TI LCALL DELAY INC R0 CJNE R0,#10,LOOP MOV R0,#0 SJMP LOOPDELAY: MOV R2,#200DLY: MOV R3,#250 DJNZ R3,

C51单片机与C8051F360有什么区别
C51 是MCS-51系列单片机, 是一种低端的8位CPU, 通常用在工业控制等嵌入式设备中. 主要的软件开发工具有Keil. 仿真器有国内的伟福(WAVE)系列.C8051F360是一种高端的单片机 一个是系列,一个是型号

51单片机怎样输出频率F=0.2-50HZ,占空比D=1-100%可调的PWM波形
uint PwmCnt = 0;sbit PWN_OUT = P1^1;\/\/可改动uint PwmD = 50;\/\/占空比 可调uint PwmF = 500;\/\/频率 可调 10 = 1HZ 500 =50HZuint PwmCnt = 0;\/\/占空比计数值uint FreCnt = 0;\/\/频率分频值void T0 interrupt 1{ ...\/\/赋值TO计数值 50us if(++FreCnt>= (...

inter8051单片机与c8051F410性能比较。
虽然inter8051单片机与c8051F410单 片机都 属于51单片机,但两者出现年代相差二十年,根本没有可比性,c8051F410可以说当今8位单片机中的王者,凡是单 片机该有的都有了。因为它太复杂了以致于应用范围远比不上AVR STC等产品

用51单片机并行口设计显示一个数码的电路,使数码管循环显示“0...
这是共阳极的数码管,至于你要显示0~F这个在编程实现

MCS-51单片机的系统时钟频率:f=12MHz,利用定时器T0,采用工作方式2,T0...
1,你首先要知道:T0,采用工作方式2最大延迟时间为256us.2,你可以通过计算公式:初值=256-t*F\/12。t为定时时间,F就是你选用的晶体频率。3,因此,你先编写一个50us的T0中断函数,350US通过设置一个全局的变量,让定时器T0产生7次中断后清0,这样你的两个延时都兼顾了。4,你在MAIN函数初始化...

51系列单片机原理、开发与应用实例目录
1.3 单片机分类,突出其在不同领域的应用实例。第2章:MCS-51单片机原理2.1 数制基础,涉及数制转换和编码方式。2.2 51单片机组成结构,内部结构与引脚功能详解。2.3 存储器介绍,重点讲解CPU的时序控制和复位操作。第3章:指令系统3.1 概述MCS-51指令系统,寻址方式及其功能指令分类。3.3 数据传送...

51单片机f=12MHz,用定时器T0,工作方式1,中断方式工作,从P1.0输出周期为...
程序最后 一段 else { LED1=1;t = 0;} 这部分中的t = 0 ;多余了。每次定时器中断进来的时候t不等20就会执行else ,然后t被清零,这样t就一直不可能等于20了。

关于51单片机的 问题 想让一个数码管从0显示到F 以下是我写的程序,请问...
P2 应该改为PO 传数据是P0口

石楼县18228384768: 谁能告诉我51单片机和fpga怎样通信啊?不知道怎么写verilog程序.谁有这方面的资料传给我呀! -
蒸音保泉: 单纯这种资料是肯定没有的,这些都是实践一下就会了的.单片机和fpga通信需要注意的地方:1、电平要一样,不要一个3.3v,一个5v,这个在fgpa中应该可以设置的.2、先定一个通信协议,就相当于二者通信要握手,比如,单片机要给fpga发送数据,要先发一个准备好信号,fpga接受之后要返回一个已接受信号,让单片机发下一个数据.就是类似这样的协议.3、还有一个比较关键的,就是除燥,尤其是单片机的信号可能要送到fpga当时钟,更要除燥,否则出不来结果.不要寄希望于资料了,自己实践一下才能真正掌握.

石楼县18228384768: FPGA与51单片机之间的通信 -
蒸音保泉: 关于时钟问题,单片机的时钟可以用fpga分频得到!最简单的通信模式就是你自己写通信时序,自己随便定义读写管脚,不知楼主对单片机和fpga掌握到什么程度,不一定非要用它本身的读写管脚,自己定义任意I/O口是最灵活的操作方式!

石楼县18228384768: 如何实现51单片机和FPGA之间的数据传送,如图中用51单片机向FPGA的24位宽的pina[23:0]和pinb[23:0]传送数据?
蒸音保泉: 你这个设计对于51单片机来说,如果直接按照楼上的总线对接,pina和pinb那就是48哥引脚. 51单片机有几个型号有6个port口啊. 个人建议:不考虑实时性的话.在FPGA上设计一个数据接口,SPI的.或者8pin串口加data clk的. 48个数据通讯的方式有个IC是AD9852的.也是MCU和IC通信,数据量为48bit.你可以参考设计一个FPGA上的通信io.

石楼县18228384768: 单片机fpga并行通信,能不能只用单片机的P2和P0口,而不用wr,rd,ale这样可以吗? 能否做到双向通信????? -
蒸音保泉: 首先,从硬件角度上,只要你P0口接上拉电阻(P0为开漏输出),P0和P2接到FPGA端口上面是行得通的.不过需要注意的是,51单片机的高电平是5V而FPGA的高电平是3.3V,所以接口之间要接一个电平转换芯片(LVC244,LVC245等等).FPGA是纯硬件的,只要你设计合理,完全能达到你的目的.剩下的就只有51单片机的软件设计了,目前我还没有搞清楚你是要实现扩展IO口呢还是要做并行数据通行.不过这两种肯定都能实现,不需害怕编程能否通过等等.总归一句话,你的方案是合理的,可行的,设计上需要下功夫.如果程序有错误肯定编程不通过,更别说实现功能.

石楼县18228384768: 求大神写一个c8051f020和fpga的通信,单片机这边程序,程序功能很简单,只要单片机向fpga发一个数据即可. -
蒸音保泉: 看一下外部存储器接口(EMIF),把fpga当作是一个外部存储器来操作 网上有代码参考

石楼县18228384768: 谁能给我一些51单片机或AVR单片机通信的程序例子啊. 我做了好久都 没做出来 ,两者互传的数据不一样啊????? -
蒸音保泉: 51单片机之间双向通信/*************** writer:shopping.w ******************/#include #define uint unsigned int#define uchar unsigned char sbit LED1 = P1^0; sbit LED2 = P1^3; sbit K1 = P1^7; uchar Operation_NO = 0; uchar code DSY_CODE[]= { 0x3f...

石楼县18228384768: STM32如何通过串口与FPGA之间进行通信?.及要注意的问题.刚上手希望越详细越好,谢谢. -
蒸音保泉: 既然是stm32和fpga,那为何不用同步通信或者spi?这样在fpga上的设计简单,通信速度也快.

石楼县18228384768: 用FPGA和51单片机制作DDS信号发生器的疑惑:
蒸音保泉: 51跟FPGA的管脚电平不一样,要一个做一个电平匹配板,在51那里输入想要的波形数据,确定之后发送到FPGA,至于你要怎么传送都行,可以将数据一次8位送也可以1位送或者其它,FPGA接收到数据之后整合恢复成原来的数据,存入你的RAM中想要怎么用就怎么用;调频调幅的数据也是跟波形数据一样的方式处理就行了

石楼县18228384768: "DSP和FPGA怎么连接呢? -
蒸音保泉: "一般的GPIO就可以呀 如果速率要求特别高,可以rapidIO,线速率可以4*3.125Gbps,实际速率近10Gbps地址线、数据线、读写信号、READY 信号,DSP输出时钟,还有DSP的空间选择CE0~CEN.连上这些,包打天下.如果数据量不大,实时性要求不太高,可以用SPI,UART之类的串行通讯口,几根线就可以了.通过不同协议连接,视通信速率选择总线协议. " 查看原帖>>满意请采纳

石楼县18228384768: 问个问题 收到的3V的信号如何转到5V来供单片机51用 3V单片机无法识别高低电平 就是将0 - 3 -
蒸音保泉: 如是总线设备用三态缓冲器,一般的3V转5V,用简单的电平转换芯片,这是较正常的做法,推荐的芯片是74LVX4245,是一个74系列的芯片,可将5V和3.3V互转. 而电平转换的芯片型号也很多,也就是说根据实际情况选择芯片.如果您是手...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网