74151管脚图

作者&投稿:广雯 (若有异议请与网页底部的电邮联系)

丑鹏19869883172问: 数字电路 用74Ls151设计一个四位奇校验逻辑电路 过程详细一点 需要逻辑电路图 和逻辑表达式 -
古浪县可泰回答: 真值表: ABCD Y 0000 0 0001 1 0010 1 0011 0 0100 1 0101 0 0110 0 0111 1 1000 1 1001 0 1010 0 1011 1 1100 0 1101 1 1110 1 1111 0 表达式: Y=A'B'C'D+A'B'CD'+A'BC'D'+AB'C'D'+ABCD'+ABC'D+AB'CD+A...

丑鹏19869883172问: ua741蕊片的管脚排列和功能 -
古浪县可泰回答: uA741资料:仙童uA741通用高增益运算通用放大器,早些年最常用的运放之一.应用非常广泛, 双列直插8脚或圆筒8脚封装.工作电压±22V,差分电压±30V,输入电压±18V,允许功耗500mW.其管脚与OP07(超低失调精密运放)完全一样,可以代换的其他运放有uA741,uA709,LM301,LM308, LF356,OP07,op37,max427等,说明一下uA741 通用放大器,性能不是很好,但满足一般需求,因为他太老了,下面给出这一系列产品的引脚图 参考资料: http://www.51hei.com/chip/214.html

丑鹏19869883172问: 八选一数据选择器74151组成的电路如图1 - 3所示,则输出函数为( ). -
古浪县可泰回答:[答案] 选B.这是个卡诺图画简的问题. 这题中,D0 D1 D2 D3 ...D7对应上图0 1 2 3 ...7的位置,把D0 D1 D2 D3 ...D7得值填入就变成了下图.74151的D0、D2、D3、D6管脚都与0连接,所以在0、2、3、6位置填0;74151的D1、D4、D5、D7管脚都与1连接,...

丑鹏19869883172问: 如图所示为74LS21外引脚排列图,试写出它的逻辑表达式和真值表,画出图形符号 -
古浪县可泰回答: 逻辑表达式为Y = ABCD; 真值表如下所示: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

丑鹏19869883172问: 求三极管2N5401.2N3904.A42.A94.13001.C1815的引脚排列?
古浪县可泰回答: 面对三极管有字的平面,管脚朝下,由左至右.分别如下 2N5401(EBC) 2N3904(EBC) A42(EBC) A94(EBC) 13001(BCE) C1815(ECB)

丑鹏19869883172问: 74HC148的作用及引脚图? -
古浪县可泰回答: 74HC148是一个8线-3线优先编码器,其引脚图及功能表描述了它的输入/输出引脚以及各个引脚的功能.引脚图:74HC148的引脚图通常包括16个引脚,这些引脚分为输入、输出和控制三类.输入引脚包括8个,即A0到A7,用于接收8个输入信...

丑鹏19869883172问: 74LS74的引脚有哪些? -
古浪县可泰回答: 74LS74引脚图及功能详解如下:一、74LS74是一个双D触发器芯片,共有14个引脚.其主要功能是在时钟脉冲的控制下,实现数据的存储和传输.二、详细1. 引脚图:74LS74的引脚图如下,其中,每个引脚都有其特定的功能.(请在此处插...

丑鹏19869883172问: 74ls151引脚悬空需要接接下拉或上拉电阻么 -
古浪县可泰回答: 74ls151是TTL芯片,输入端悬空相当于输入高电平,为抗干扰,输入端悬空时接上拉电阻.输出端悬空时不接上拉或下拉电阻.


本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网