用74151和74138设计全加器

作者&投稿:蓬若 (若有异议请与网页底部的电邮联系)

邹谢13837396152问: 什么是一位全加器,怎么设计逻辑电路图 -
金明区舒汀回答: 全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器. 一位全加器可以处理低位进位,并输出本位加法进位.多个一位全加器进行级联可以得到多位全加器.常用二进制四位全加器74LS283. ...

邹谢13837396152问: 用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, -
金明区舒汀回答:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

邹谢13837396152问: 求:用74283全加器设计实现两个四位二进制码的数值比较电路 -
金明区舒汀回答: 设计思路如下: 将74283接成减法器,见下图.设两个四位二进制码分别为A和B,这里将A设成被减数,B设成减数,S为结果(差). 减法采用补码运算,即A减B等于A加B的补码.四位二进制数A直接接到74283的A1~A4输入端. 按照补码的运算规则,反码加一即为补码,所以四位二进制数B先通过四个反相器求反,然后接到74283的B1~B4输入端,同时74283的C0(进位输入端)接高电平,实现反码加一功能. 输出有两种,可以只用Co来指示A是大于等于B还是小于B,也可以如图中将S1~S4接到一个四输入或门产生A与B是否相等的指示信号,如果没这个要求,则四输入或门可以不用..

邹谢13837396152问: 用74ls138和74ls151设计三人表决器和全加器 -
金明区舒汀回答: 用74ls138设计三人表决器 用74ls138设计全加器 用74ls151设计三人表决器

邹谢13837396152问: 74X151为八选一数据选择器,可以实现所有3变量的逻辑函数;74X138为...
金明区舒汀回答: Y4.Y5.Y7 ___________ — — — — Ci=Y3.Y6设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2

邹谢13837396152问: 组成全加器有几种方案 -
金明区舒汀回答: 3种~~~~我们学的就是3种1.门电路实现 2.74138 3 8译码器 3.74151数据选择器8选1

邹谢13837396152问: 求用两片74ls138设计一个全加器的电路图?? -
金明区舒汀回答: 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

邹谢13837396152问: 求用两片74ls138设计一个四位全加器的电路图??谢谢 -
金明区舒汀回答: 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?


本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网