可综合的verilog语句

作者&投稿:僪满 (若有异议请与网页底部的电邮联系)

劳泽19381132353问: verilog语言中有没有改变一个字节bit序的可综合语句? -
古冶区赛若回答: 没有简单的逆转方式,如果需要你可以写:assign b[7] = a[0]; assign b[6] = a[1]; assign b[5] = a[2]; assign b[4] = a[3]; assign b[3] = a[4]; assign b[2] = a[5]; assign b[1] = a[6]; assign b[0] = a[7]; 求最佳

劳泽19381132353问: 关于verilog中语句可不可综合 -
古冶区赛若回答: 特别是在testbench中应用非常普遍,也是做初始化用的 测试模块的功能时,给模块提供激励信号.initial语句是不可综合的,只是一种仿真模拟. 测试

劳泽19381132353问: Verilog HDL中什么是可综合模块 -
古冶区赛若回答: (1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,...

劳泽19381132353问: 不能被综合的Verilog语句能烧写到FPGA里面吗? -
古冶区赛若回答: 1、可以在RTL里面查看2、只要正常全局编译通过,就可以配置到FPGA中3、VERILOG中有很多不可综合的语句是用来仿真的,部分可综合语句,由于设计者使用原因,也有可能不被综合.有些语句也有可能被编译器优化了,没被综合.

劳泽19381132353问: 什么是verilog综合, -
古冶区赛若回答: 综合就是把你写的rtl代码转换成对应的实际电路. 比如你写代码assign a=b&c; EDA综合工具就会去元件库里拿一个二输入与门出来,然后输入端分别接上b和c,输出端接上a假如你写了很多这样的语句 assign a=b&c; assign c=e|f; assign e=x^y...

劳泽19381132353问: 在FPGA 中,什么时候可以综合,什么时候不可以综合 -
古冶区赛若回答: 要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点:(1)不使用initial.(2)不使用#10.(3)不使用循环次数不确定的循环语句,如forever、while等.(4)不使用用户自定义原语(UDP元件).(5)尽量使用同步方式设计电路....

劳泽19381132353问: verilog的行为级描述和RTL级描述有什么区别 -
古冶区赛若回答: 行为级描述是级别比较高的描述方式,有点像bash语言或SQL语言.RTL级是寄存器级,还比较低级. RTL级和行为级最大的区别是可综合性.一般的综合软件都支持RTL级,行为级目前支持的不好,实用中还很少使用.所以如果是做芯片开发,都是用RTL级语言描述的,这样就不能使用比如initial块,不可使用wait语句等.这些语句一般而言是不可综合语句,如果用DC综合,会报错,但是在写testbench时,可大量使用行为级描述语言,这样会很方便. 希望能帮到你,如果有疑问,欢迎追问.

劳泽19381132353问: verilog语言中的@什么意思 verilog语言中的@什么意思 -
古冶区赛若回答: 在英语中@ 读at,也就是在……的时候,这个小学应该学过,对吧.verilog中@ 的含义就是触发条件的意思,举个例子,always 语言加入不加@ 的话,就是一个一直执行的语句常用的是 always #10 clk=~clk;这是测试文件中常用的语句,这个语句会一直执行,不会停止.如果加了@ 那就是可综合的子集,常用的是always @ (posedge clk)就是clk上升沿时触发语句,也就是只有条件满足时才执行.以上有什么问题可以继续追问

劳泽19381132353问: verilog的repeat语句 -
古冶区赛若回答: repeat 循环语句执行指定循环数,如果循环计数表达式的值不确定,即为 x 或z 时,那 么循环次数按 0 处理.repeat 循环语句的语法为 repeat(循环次数表达式) begin语句块; end 其中, “循环次数表达式”用于指定循环次数,可以是一个...

劳泽19381132353问: verilog语句执行顺序的疑问? -
古冶区赛若回答: 可综合的都是并行执行的.其实也不难理解,verilog是硬件语言,也就可以看成是一个电路.在实际的电路中没办法延迟,没办法让一边先通电,再让另一边通电,所以一切都是同时进行的.这并行的前提都是“可综合”.如果是写testbench,会用到不可综合的模块,这些模块是行为级的,在实际电路中无法实现.比如task,比如阻塞赋值等等,这些都是有顺序的.


本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网