74192译码器还是编码器

作者&投稿:慎狭 (若有异议请与网页底部的电邮联系)
~ 编码器是具有编码功能的逻辑电路,它的逻辑功能是将输入的每一个高、低电平信号编成一个对应的二进制代码。编码器分为普通编码器和优先编码器。
首先来介绍普通编码器,在普通编码器中任何时刻只允许输入一个编码信号,否则输出将发生混乱。优先编码器:允许同时输入两个以上的有效编码信号。当同时输入几个有效编码信号时,优先编码器能按预先设定的优先级别,只对其中优先权最高的一个进行编码。

我们举一个例子来形象地解释编码器的作用,拿8线-3线编码器来说,

它有八个输入端和三个输出端,这里便实现了将高、低电平的信号编成了二进制代码的作用,当输出为000时,输入端便只有I0输入有效,000便表示I0的下标0,同样当输出为010时,010表示十进制2,此时输入端便只有I2输入有效,二进制代码010和I2的下标相对应,这便是编码器的作用:将输入的高、低电平信号编成一个对应的二进制代码,方便编码的时候好运用。

译码器:具有译码功能的逻辑电路称为译码器。译码是编码的逆过程,它能将二进制代码翻译成代表某一特定含义的信号。即通过译码器我们便可以通过二进制代码来判断出此时端口的状态:高电平或低电平。


河西区17385985114: 想详细了解74ls48d 、74148n 、74192n、CB555 这几个集成电路的属性.... -
岑丹乌鸡: 7448是一个BCD转七段码IC,74148是一个编码器,74192是一个加减法计数器,555是一个时基IC,这没有什么属性不属性的,都是数字电路中常用的IC,想用好这几个IC,要有数字电路的基础知识才行.

河西区17385985114: 如何判定电子器件是编码器还是译码器 -
岑丹乌鸡: 什么是译码器 实现译码器功能的组合逻辑电路称为译码器.它的输入是二进制的代码,输出是一组高低电平信号,每输入一组不同的代码,只有一个输出端呈现有效信号.什么是编码器: 完成编码功能的数字逻辑电路称为编码器,其逻辑功能与译码器正好相反. 译码器实现“多对一”译码,而编码器实现“一对多”译码.

河西区17385985114: 教材中的优先编码器和译码器74hc148,输入都是非形式再接反相器,那I非的意思是算不算反相器?是 -
岑丹乌鸡: 简单说,这些逻辑电路芯片中,是有以高电平作为输入、输出有效的; 那么也该有以低电平作为输入、输出有效的; 另外,选取低电平有效方式,在输出端可方便实现“线与”

河西区17385985114: 描述下本电路是如何实现四路输入抢答功能的? -
岑丹乌鸡: vvc:设计一个4人参加的智力竞赛抢答计时器1:当有某一个参赛者下按抢答开关时.相应显示等亮.并伴有声响;且此时抢答器不再接受其他输入信号;2:电路具有回答问题时间控制功能.要求回答问题小于等于100s(显示0——99s)....

河西区17385985114: 为何1、6、11、13信道不易被干扰? -
岑丹乌鸡: 首先1 6 11 信道 各不干扰就不说,首先1,6,11不是咱们国家定的,为了国际通用就沿用了原来的. 信息是抽象的,但传送信息必须通过具体的媒质.例如二人对话,靠声波通过二人间的空气来传送,因而二人间的空气部分就是信道.邮政通信的...

河西区17385985114: 请问普通4线 - 2线编码器的真值表为啥是这样的?是规定的还是怎么算的? -
岑丹乌鸡: 对,这是规定的,而且还有个优先编码条件,如 I3=1 时,可完全不考虑其他三个参数情况,而直接得到 Y0Y1=11 状态输出; Y1和Y0通过0,1组合来表示4种状态,是00,01,10,11 一个2-4译码器,只需要6个引脚,加上VCC,GND,是8个脚,就是...

河西区17385985114: 用verilog hdl语言编写一个8—3译码器程序 -
岑丹乌鸡: 首先要纠正一下,相对多的输入转化成为相对少的输出,一般叫编码器;相对少的输入转化成为相对多的输出,一般叫译码器.所以,确切地说你要做的应该是8-3编码器(还是3-8译码器). //8-3编码器verilog代码 module enc_83(datain, ...

河西区17385985114: 下面哪个不是组合逻辑电路:译码器 编码器 全加器 寄存器 -
岑丹乌鸡:[答案] 寄存器,它是时序逻辑.

河西区17385985114: 用74192(等同40192)芯片设计电路 -
岑丹乌鸡: 你应该找到它的芯片管脚连接图,功能表,将其接成7进制可逆计数器即可以了. 如果需要帮忙画图,请将“芯片管脚连接图,功能表”发上来,再帮你画

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网