用8选1数据选择器74LS151设计一个3变量多数表决器电路。

作者&投稿:岳宰 (若有异议请与网页底部的电邮联系)
用8选1数据选择器74LS151设计三输入多数表决电路~

如果三个人对一件事情的通过与否进行表决,则按照经验,如果有两个或两个以上的人通过,则该事情最终被通过。下面我们就用数字电子技术的相关知识制作这么一个表决器。假设通过用高电平“1”来表示,相反,则不通过用低电平“0”来表示。将地址端A、B、C作为输入端,将输入端D0-D7作为控制端,因74LS151低电平有效,故将其使能端G置低电平,输出为S。
ABC共有八种不同的输入状态,即:000、001、010、011、100、101、110、111。而我们希望ABC为011、101、110、111时,输出为“1”,其余输出为“0”。则写成逻辑表达式为:S=A'BC+AB'C+ABC'+ABC=m3D3+m5D5+m6D6+m7D7
故将D3、D5、D6、D7端接高电平,其余控制端接低电平,这样就构成了三输入的表决器。

扩展资料:
在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。
产品规格 有4选1数据选择器、8选1数据选择器(型号为74151、74LS151、74251、74LS153)、16选1数据选择器(可以用两片74151连接起来构成)等之分。如在数字电路中,mux6常指6路开关、mux6to1(mux6_1)常指6选1数据选择器。
多路转换器的作用主要是用于信号的切换。目前集成模拟电子开关在小信号领域已成为主导产品,与以往的机械触点式电子开关相比,集成电子开关有许多优点,例如切换速率快、无抖动、耗电省、体积小、工作可靠且容易控制等。
但也有若干缺点,如导通电阻较大,输入电流容量有限,动态范围小等。因而集成模拟开关主要使用在高速切换、要求系统体积小的场合。在较低的频段上f10MHz),则广泛采用双极型晶体管工艺。
参考资料来源:百度百科-MUX

F = AB + BC + AC
F=A'BC+B'C+AC'+A。
=A'BC+(A+A')B'C+A(B+B')C'+A(B+B')(C+C')。
=A'BC+AB'C+A'B'C+ABC'+AB'C'+ABC。
用门电路设计组合电路,可能需要用到的门电路品种比较多,门之间的连线较多,PCB设计难度也大;若只用某一种门电路,则可能门的数量多,且不同的传输路径上门的级数相差较大,即传输时延较大,则出现竞争冒险的可能较大。

扩展资料;
如果把A1、A0视为两个输入逻辑变量,同时把D0、D1、D2和D3取为第三个输入逻辑变量A2的不同状态(即A2、/A2、1或0),便可产生所需要的任何一种三变量A2、A1、A0的组合逻辑函数。可见,利用具有n位地址输入的数据选择器可以产生任何一种输入变量数不大于n +1的组合逻辑函数.
参考资料来源:百度百科-数据选择器

http://wenku.baidu.com/link?url=JpLk29nSIvL6tJap4qX2q0W1Kc04vHmxsDd0GQaAf6RCipVzlTnUrzUnlOP-Sh1ICwed-ExPW5sQGEcTiNMNNLACOlxQl7vRv6MvoERTqfW百度文库里的ppt里有很详细的介绍


用VHDL程序设计一个8选1数据选择器
WHEN "111" => y <= In_s(7);WHEN "011" => y <= In_s(6);WHEN "101" => y <= In_s(5);WHEN "100" => y <= In_s(4);WHEN "011" => y <= In_s(3);WHEN "010" => y <= In_s(2);WHEN "001" => y <= In_s(1);WHEN "000" => y <= In_...

128选1数据选择器有多少位地址译码器
128选1数据选择器有7位地址译码器。二进制8位等于256,7位等于128.

MC14051 8选1模拟开关怎么用啊?这个8选1是啥意思?详细清楚点啊。大侠...
就是一个类似于数据选择器的东西 通过控制ABC来实现选择输出X0,X1,X2,X3,X4,X5,X6,X7的信号

怎样用双4选1数据选择器构成一个8选1的电路
对照153的引脚图,将使能端1S和使能端2用非门连接,做最高位A2;加上原来的A1和A0,构成三位输入端。同时输出端Y2和Y1通过一个或门输出,即可做成8选一数据选择器。一个数据选择器看作低位输出,也就是输出0~7(共八路),16选1则是由四位输入(例如ABCD)A是最高位,0~7时,A=0,所以应该...

74ls151和74hc151逻辑图一样吗
一样。74LS151和74HC151都是8选1数据选择器,其逻辑图在功能上是相同的,都由三个地址输入端(A,B,C)、使能端(S)和八个数据输入端(D0杠D7)以及两个互补输出端(Y和W)组成。数据选择器是一个组合逻辑电路,根据给定的输入地址代码从一组输入信号中选择一个指定的信号,并将其传输到输出...

八选一数据选择器芯片74HC151
一个八选一数据选择器芯片74HC151有 (12)个输入信号引脚,其中包括 (1)个片选控制信号、 (3)个地址(选择控制)信号、 (8)个被选择的数据输入信号。

vhdl 8选1数据选择器
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EIGHT_XUAN_1 IS PORT( ST: IN STD_LOGIC;A: IN STD_LOGIC_VECTOR(2 DOWNTO 0);D: IN STD_LOGIC_VECTOR(7 DOWNTO 0);Q: OUT STD_LOGIC);END;ARCHITECTURE HBV OF EIGHT_XUAN_1 IS SIGNAL Q1: STD_LOGIC;BEGIN PROCESS(A)BEGIN I...

试用八选一数据选择器实现下列逻辑函数 F(A,B,C,D,)=∑m(0,2,4,8...
F=A'B'C'*D'+A'B'C*D'+A'BC'*D'+A'BC*D'+AB'C'*D'+AB'CD'+AB'CD+ABC*D =(A'B'C'+A'B'C+A'BC'+A'BC+AB'C')*D'+AB'C*1+ABC'*0+ABC*D F接在Y端

74ls151选择器选出的信号怎么和输入的有区别?
74ls151是8选1数据选择器,因是数字信号,选择输入输出是高电平或低电平.输入数据分别为D0,D1,D2,D3,D4,D5,D6,D7,输出为Y,W.例如选择位为0,0,0,选择的是D0输出,此时输出Y=D0,W=\/D0.假如输入D0为高电平,那么输出Y=1,W=0.类推. 本回答由网友推荐 举报| 答案纠错 | 评论 0 1 yuezg52128 ...

怎样用一块74LS153构成一块8选1
这一步需要根据74LS153的实际管脚布局进行连线。74LS153是一种常见的8选1数据选择器,除此之外,还有4选1(如74151)、16选1(通过两片74151连接)等多种类型。它们在选择多路数据时,有不同的特性和使用方法。要了解更多细节,可以参考相关的技术文档或在线资源,如百度百科中的多路选择器条目。

温岭市15083759531: 用八选一数据选择器74LS151设计一个多数表决电路.该电路有三个输入端A.B.C,分别代表三个人的表决情况.同意为1,不同意为0.当多数同意时输出为1态,... -
蒙单帕夫:[答案] http://zhidao.baidu.com/question/222126255.html?fr=qrl&cid=74&index=1&fr2=query 这个人家写好的,参考下

温岭市15083759531: 用8选1数据选择器74ls151设计四位奇偶校验电路怎么弄!要求当输入4位数据中“1”的个数为偶数时输出Y=1,否则Y=0.要写出设计过程,画出逻辑电路图... -
蒙单帕夫:[答案] 最简单的办法就是看最低位是1 还是0,是1就是奇数,因为二进制数各个数位上,只有第一位代表1,其余各位都代表偶数,2、4、8……,所以用151设计4位二进制奇偶校验器,只需要把D0~D7都接在最低位上,其余三位接在151的三个数据选择...

温岭市15083759531: 数字电子技术的组合逻辑电路问题用8选1数据选择器74LS151实现下面逻辑函数F(A.B.C.D)=∑m(0,5,8,9,10,11,14,15) -
蒙单帕夫:[答案] D0=/A/B/C/D D1=0 D2=0 D3=/AB/CD D4=0 D5=ABC D6=A/BC D7=A/B/C(字母前加/表示非的意思)

温岭市15083759531: 用8选1数据选择器74LS151实现逻辑函数:F=A'BC+B'C+AC'+A -
蒙单帕夫:[答案] F=A'BC+B'C+AC'+A =A'BC+(A+A')B'C+A(B+B')C'+A(B+B')(C+C') =A'BC+AB'C+A'B'C+ABC'+AB'C'+ABC ABC为数据选择位.以上计算结果转换过来就是:m1,m3,m4,m5,m6,m7.因此所对应的D1,D3,D4,D5,D6,D7都应接1,而其余接0,便可满足Y端...

温岭市15083759531: 用8选1数据选择器CT74LS151和门电路设计电路,要求输出逻辑函数为 -
蒙单帕夫: 函数式中,有四个输入变量;用74LS151来实现“或”的功能,变量ABC对应作为74LS151的选通信号变量,变量D作为8路输入信号之一路;分析逻辑函数 Y等式中的每一项:1)AC非D(选通信号=AC非,没有B),因此会分别选通出对应两路输入信号,把这两路输入信号并联起来,作为D变量输入;2)A非B非CD(选通信号=A非B非C),将选通唯一的输入信号,也作为D变量输入;3)BC(选通信号=BC,没有A),也将分别选通出两路输入信号,这两路输入信号的值取1即可;用一个151就可实现功能了,无需外加门电路;

温岭市15083759531: 用8选1数据选择器74LS151设计三输入多数表决电路 -
蒙单帕夫: 如果三个人对一件事情的通过与否进行表决,则按照经验,如果有两个或两个以上的人通过,则该事情最终被通过.下面我们就用数字电子技术的相关知识制作这么一个表决器.假设通过用高电平“1”来表示,相反,则不通过用低电平“0”来...

温岭市15083759531: 由8选1数据选择器74ls151构成的电路如图所示,请写出该电路输出函数Y的逻辑表达式 -
蒙单帕夫: 这题表达式挺麻烦的说~ 以CBAD从高位到低位排列最小项为m(1,2,3,6,8,11,13,14),逻辑表达式打不出来,你自己画画卡诺图就能写出来了.做法就是先写出3输入8行真值表把Y表示为D的函数,然后分D=0,1扩展成16行真值表即可.

温岭市15083759531: 数字电路 用74Ls151设计一个四位奇校验逻辑电路 过程详细一点 需要逻辑电路图 和逻辑表达式 -
蒙单帕夫: 真值表: ABCD Y 0000 0 0001 1 0010 1 0011 0 0100 1 0101 0 0110 0 0111 1 1000 1 1001 0 1010 0 1011 1 1100 0 1101 1 1110 1 1111 0 表达式: Y=A'B'C'D+A'B'CD'+A'BC'D'+AB'C'D'+ABCD'+ABC'D+AB'CD+A...

温岭市15083759531: 数字电子技术题(超基础的)1:请用74LS161构成一个从1到12的十二进制的计数器.2:用8选1数据选择器74LS151实现下列逻辑函数F2(A,B,C)= ∑m(1,2,3,... -
蒙单帕夫:[答案] 先回答第2题:151的使能端G接低电平,CBA分别对应接ABC,D1D2D3D5D7接高电平,D0D4D6接低电平,输出Y端写F2,这样说你明白了吗? 第3题:我插入图片

温岭市15083759531: 设计一位8421BCD码的判奇电路,当输入码为奇数时,输出为1,否则为0.要求使用两种方法实现:(1)用最简与非门实现,画出逻辑电路图;\x05(2)用一... -
蒙单帕夫:[答案] 我怎么觉着就看最低位就行了呢?最低位是1,这个数就是奇数,是0,这个数就是偶数.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网