如何用vhdl语言设计5进制减法计数器,我没悬赏分了。。。

作者&投稿:养奇 (若有异议请与网页底部的电邮联系)
用verilog语言编写5进制减法计数器~

//这是39进制计数器,可进行加减操作,为0时减操作输出38,为38是加操作输出0.你改一下就成9进制了

module counter_39{
add,
dec,
counter
};

inputadd;//为1时加操作
input dec;//为1时减操作
output [5:0]counter;

reg[5:0]counter;

always @(add and dec) begin
if(add && !dec) begin
if(counter == 6'd38) begin
counter <= 6'd0;
end
else begin
counter <= counter + 1'b1;
end
end
if(!add and dec) begin
if(counter == 6'd0) begin
counter <= 6'd38;
end
else begin
counter <= counter - 1'b1;
end
end
end
endmodule

USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY add_sub_counter IS
PORT ( clk,player1_in,player2_in : IN STD_LOGIC;
counter : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
END;
ARCHITECTURE behaviour OF add_sub_counter IS
SIGNAL q : STD_LOGIC_VECTOR (7 DOWNTO 0);
BEGIN
PROCESS(clk,player1_in,player2_in)
VARIABLE player : STD_LOGIC_VECTOR (1 DOWNTO 0);
BEGIN
player := player1_in&player2_in;
IF rising_edge(clk) THEN
CASE player IS
WHEN "10" => q <= q+1;
WHEN "01" => q <= q-1;
WHEN OTHERS => NULL;
END CASE;
END IF;
END PROCESS;
counter <= q ;
END;

很简单的,计数器进程部分程序给你,其他的分频的,显示的部分你能行的
signal cq: intger ranger 0 to 5
process(clk)
begin
if lck' event and clk='1' then
if cq=0 then cq<=5
else cq<=cq-1;
end if;
end if;
end process;


如何用VHDL实现分频?
模N计数器的实现 一般设计中用到计数器时,我们可以调用lpm库中的计数器模块,也可以采用VHDL语言自己设计一个模N计数器。本设计采用VHDL语言设计一个最大模值为16的计数器。输入端口为:使能信号en,复位信号clr和时钟信号clk;输出端口为:qa、qb、qc、qd。其VHDL语言描述略。带使能控制的异或门的...

怎么用VHDL语言编写十字路口交通灯?
最简单的方法是列出真值表,写出逻辑表达式,然后根据逻辑表达式来写出vhdl程序即可。一、VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed Integrated Circuit的缩写,是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言的出现。二、VHDL主要...

vHdl是什么
VHDL的全称是Very-High-Speed Integrated Circuit Hardware Description Language,是一种用于设计硬件系统的描述语言。VHDL看起来与软件编程语言有些相似,但本质上有很大不同。软件编程语言是顺序结构的,而硬件描述语言却是并行的,即使VHDL设计了顺序语句,从设计思想上来讲,仍然是并行设计思维。这是因为...

基于vhdl电子秒表的系统设计怎么做?
一、实验原理 :用层次化设计的方法以VHDL语言编程实现以下功能:【1】具有“时”、“分”、“秒”计时功能;时为24进制,分和秒都为60进制。【2】具有消抖功能:手工按下键盘到是否这个过程大概50ms左右,在按下开始到弹簧片稳,定接触这段时间为5-10ms,从释放到弹片完全分开也是5-10ms,在达到...

VHDL语言特点
VHDL作为标准化的硬件描述语言,其广泛应用得益于诸多独特优势。首先,VHDL功能强大,设计手段灵活多样。它能通过简洁明了的程序描述复杂电路,支持同步、异步和随机电路设计,设计方法涵盖了自顶向下、自底向上、模块化和层次化等策略。其次,VHDL具有强大的硬件描述能力,能从系统级到门级全面覆盖。它支持...

为什么说verilog可以用来设计数字逻辑电路和系统
Verilog是一种硬件描述语言,主要用于设计数字逻辑电路和系统。一、硬件描述语言的优势 硬件描述语言(HDL)如Verilog和VHDL为数字逻辑电路和系统的设计提供了一种高效、便捷的方式。它们使得设计师可以用高级语言的方式来描述电路,而不需要从基本的逻辑门开始构建。这大大提高了设计的效率,减少了出错的可能...

数字逻辑设计怎么根据VHDL代码来画原理图?
如图操作,然后原理图下插入器件时project里就会生成这个器件

怎样用VHDL语言程序设计一个带有异步复位8进制加法计数器?
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jishuqi is port(cp,en,r,d:in std_logic;--d=1 => 加法 d=0 => 减法 en使能端,r复位端 m:in std_logic_vector(1 downto 0);--m 选择进制:00为...

用VHDL语言做基于CPLD的数据采集控制系统
设计要求用一片CPLD\/FPGA,模数转换控制器ADC和LED显示器构成一个数据采集系统,用CPLD\/FPGA实现数据采集中对A\/D 转换,数据运算,及有关数据的显示控制。课题除了学习相应的硬件知识外,还要学习如何使用VHDL语言设计可编程逻辑器件。 未来的EDA技术向广度和深度两个方向发展. (1)在广度上,EDA技术会日益普及.在过去,...

VHDL在数字电路的应用前景与发展现状
VHDL是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接方式,与传统的门级描述方式相比,VHDL可以用简洁明确的代码描述,来进行复杂控制逻辑的设计,灵活且方便,也便于设计结果的交流、保存和重用。本文主要介绍VHDL语言的产生与发展并将其运用于具体的电子...

丹东市17574332964: 如何用vhdl语言设计5进制减法计数器,我没悬赏分了... -
说易复方: 很简单的,计数器进程部分程序给你,其他的分频的,显示的部分你能行的 signal cq: intger ranger 0 to 5 process(clk) begin if lck' event and clk='1' then if cq=0 then cq else cq end if; end if; end process;

丹东市17574332964: 用VHDL语言编写减法计时器 -
说易复方: 不知你说的减法器具体要实现什么功能.我写的这个要输入一个period信号来指明要计时多长时间,然后给一个start信号开始倒计时,计时到0时输出done信号.之后等待下一个start信号再开始下一次计时.library ieee; use ieee.std_logic_1164....

丹东市17574332964: 用VHDL语言编写模为40的减法计数器,怎么编写? -
说易复方: library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mo40 is port(clk,rst:in std_logic; jinw:out std_logic; y:out std_logic_vector(7 downto 0)); end mo40; architecture shu of mo40 is begin ...

丹东市17574332964: 用VHDL语言描述一个60进制的减法计数器,要求有异步清零端clr,低电平有效,检测到clk的下降沿,计数值减1 -
说易复方: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter60 is port( clk : in std_logic; clr : in std_logic; DOUT : out std_logic_vector(5 downto 0)); end counter60; architecture rt1 of counter60 is ...

丹东市17574332964: 求用VHDL语言实现 十进制同步减法计数器(异步清零、同步预置、下降沿触发、带借位输出BO端) -
说易复方: VHDL语言实现 十进制同步减法计数器(异步清零、同步预置、下降沿触发、带借位输出BO端).原程序如下,改程序已经通过仿真,仿真结果见图,输入D的值设为3,同步置位后,输出Q=D=3,功能实现. LIBRARY ieee; use ieee.std_logic...

丹东市17574332964: 用verilog语言编写5进制减法计数器 -
说易复方: //这是39进制计数器,可进行加减操作,为0时减操作输出38,为38是加操作输出0.你改一下就成9进制了 module counter_39{ add, dec, counter }; input add; //为1时加操作 input dec; //为1时减操作 output [5:0] counter; reg [5:0] counter; always ...

丹东市17574332964: VHDL简单计算器设计 -
说易复方: 先算一下1+1=0,进11+0=1,进00+1=1,进00+0=0,进0 减法也是.然后用二进制与门,非门啥的拼一下.VHDL没学过,数字电路总会吧.通过二进制表示十进制数.就像1010B=10D.然后就组织成C语言的样子.用IF就能完成.这个直接用十进制计算就行了.实体上看你怎么输入了,是直接十进制输入呢?还是二进制输入呢?二进制输入的话,结构体里还要做个换算.

丹东市17574332964: 请教下VHDL的一个给固定值的递减计数器 -
说易复方: 实际使用中可以改成BUFFER然后例化就行 library ieee; use ieee.

丹东市17574332964: 设计一个倒计时2分钟的程序! -
说易复方: 这和加法计数器没多大区别,他仅仅是计数的判断方式改变了 把加改成减了,你就仿照加法计数器写就行了.

丹东市17574332964: VHDL语言16进制计数器和8进制计数器 -
说易复方: ibrary ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity jishuqi is port(cp,en,r,d:in std_logic;--d=1 => 加法 d=0 => 减法 en使能端,r复位端m:in std_logic_vector(1 downto 0);--m 选择进制:00为2...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网