8路抢答器,显示的结果总是一半,做不好,请高手帮忙修改一下,这是我的邮箱191284972@qq.com

作者&投稿:由雅 (若有异议请与网页底部的电邮联系)
基于plc的8路智能抢答器(各种图)~

这时才用DP801制作的8路抢答器电路,这是第一张图,共9张。其它图是编制的程序。现只能传一个,其他的你需给我QQ邮箱,我给你发到邮箱里

有什么具体要求没?我做的没有30秒或者5秒倒计时。不过有45秒的答题时间计时以及10秒的抢答时间计时

貌似DLAY延时时间太短,你是先显示十位再显示个位,每次调用XIANSH1显示子程时,十位只显示了DLAY子程延时时间,显示子程结束时都停留在显示个位上,如果XIANSH1显示子程调用不是太频繁则基本上看不到十位的显示效果,因为在一个调用周期内十位只显示了DLAY子程延时时间,其余时间都在显示个位内容。
如果你先显示个位再显示十位,恐怕又看不到个位的内容了。
事实上对于一个逐位扫描显示电路,在刷新每一位时尽可能使它们的时间均等,这样显示效果才会均匀。

蜂鸣器所连接的三极管,用的不对。

应该是 NPN 型的。

如果用 PNP,其发射极,应该接正电源。
----
另外,设计抢答器,选手的按键,并不应该延时去抖。


抢答器的工作原理是怎样的?
抢答器是通过设计电路,以实现如字面上意思的能准确判断出抢答者的电器。在知识竞赛、文体娱乐活动(抢答赛活动)中,能准确、公正、直观地判断出抢答者的座位号。更好的促进各个团体的竞争意识,让选手门体验到战场般的压力感。传统抢答器只是大概判断出抢答成功或犯规选手台号,无法显示出每个选手的抢答...

单片机实例分享,如何设计八路抢答器
编程实战我们提供了数码管显示和按键检测的代码示例,以及使用定时器的详细说明。通过Proteus仿真软件,你可以验证设计的准确性。上电后,抢答器会启动并显示预设信息,启动后,抢答者即可参与,成功抢答后会实时显示编号,直至主持人按下结束键。实际应用这个项目不仅是一次技术实践,也是对单片机外设和开发流程...

八路数字抢答器功能是什么?
抢答器的功能是:在当今的一些智力竞赛中的某些环节,需要考验参赛的选选手的反应能力的时候,我们就会需要判断谁做出的选择更快,因为人的判断不如机械判断更客观,所以就有了抢答器的诞生;八路数字抢答器也是这个功能。传统抢答器只是大概判断出抢答成功或犯规选手台号,无法显示出每个选手的抢答时间。而今...

急!智力抢答器电路!4个人 同时抢答时,电路显示先抢答者的讯息(灯亮和...
VT1为音频放大器,对预加重后的音频信号进行放大,经过C3送至VT2的基极进行频率调制。VT2组成共基极超高频振荡器,基极与集电极的电压随基极输入的音频信号变化而变化,从而使基极和集电极的结电容发生变化,高频振荡器的频率也随之变化,从而实现频率调制。VT3组成发射极输出丙类高频功率放大器,其作用有两...

八路抢答器原理是什么
当比赛开始的信号发出,参赛者只需按下与其位置对应的按钮,计时器立即启动,并亮起相应的指示灯,记录下参赛者按下按钮的那一刻起的时间。最后,所有计时器会同步显示在屏幕上,清晰展示每位选手的成绩。在使用八路抢答器时,关键在于精准设置。首先,确保每个计时器的设置与参赛者的位置相匹配,这样可以...

设计一个三路抢答器PLC控制
三路抢答器为A、B、C X1为A路抢答按钮,Y1为A台指示灯,X2为B路抢答按钮,Y2为B台指示灯,X3为C路抢答按钮,Y3为C台指示灯,X4为复位按键,X5为抢答按钮,Y5为答题指示灯,X6为正确按钮,M4为播放音乐,M5为小奖品。(因为问题4,你给的条件太少我只能用辅助继电器M4、M5来表示)T0为两秒计时...

急,寻答案,电路设计“8路抢答器”
一款八路抢答器 本文介绍一款简单易制的八路抢答器电路,它由两只四D锁存器集成电路CD4042等组成。一、工作原理 该八路抢答器电路原理如附图所示。IC1和IC2为四D锁存器CD4042,其D0、D1、D2、D3为输入端,Q0、Q1、Q2、Q3为输出端,POL为极性控制端,CP为脉冲输入端。当把POL接低电平时,时钟...

各位大大帮忙看一下这个抢答器的电路图
这个图不用去费脑筋了。我曾经修改过,单人按键时能正常显示1~7,但不能显示0,多人抢号时高号还能抢低号,并且显示号随机。我都失去耐心了,如果你有兴趣,可以接着发挥。若急用,建议用CD4511芯片的那一个电路,非常高效,不用修改。

基于数电的九路抢答器设计方案
这个抢答器共有九组抢答输入,组号由七段笔划数字显示,每段内装6.3V、0.15A灯泡一只,能显示0、1、2、3、4、5、6、7、8、9等数字,如图140所示,图中S为电源开关,由节目主持人控制。合上S,电路进入初始等待状态,所有元件均截止。由于C灯是公共的,任意一组按下抢答开关,则稳压管VD被击穿...

高分求四路抢答器设计方案!具体如下!
V5的导通电流通过R2,并在R2上产生压降,使V10基极电位提高,使其振荡发声,表示抢答结束。V1和V5导通后,将V10基极电压钳制在1.1V左右,之后K2、K3、K4按下去,已不能使串联的两只硅二极管正向导通,电路没有反应。待大家看清显示结果,主持人将K0关闭,抢答器清零,等待下一次抢答。V1~V4选用9015...

鄂温克族自治旗18029126172: 8路抢答器,显示的结果总是一半,做不好,请高手帮忙修改一下,这是我的邮箱191284972@qq.com -
蓍饱益平: 蜂鸣器所连接的三极管,用的不对.应该是 NPN 型的.如果用 PNP,其发射极,应该接正电源.---- 另外,设计抢答器,选手的按键,并不应该延时去抖.

鄂温克族自治旗18029126172: 单片机八路抢答器,不需显示,不需报警.需要实现:1.第一位抢答才可锁存.2.开始后3秒延时.3.可复位 -
蓍饱益平: 这个你也不留个邮箱什么的 怎么给你啊 其实这个也简单 前提是你会一点单片机的编程.用单片机还用什么复位电路,多一个按键当复位按键,程序检测到对显示什么的进行复位就好了吗 如果你说是的单片机的复位电路那接片max1232在程序里加上电子狗的程序就可以了 程序大体分几部分1.显示扫描数码管.2.按键扫描.3.倒计时.中间用全局变量来存台号和倒计时就可以了

鄂温克族自治旗18029126172: 8路无线抢答器 电路原理图和PCB图 -
蓍饱益平: 给你这个数字显示声音报警,8路抢答器电路图,按图安装即可,基本不用调试.即可成功.

鄂温克族自治旗18029126172: 求51单片机的控制的八路抢答器的程序 -
蓍饱益平: OK EQU 20H;抢答开始标志位RING EQU 22H;响铃标志位ORG 0000HAJMP MAINORG 0003HAJMP INT0SUBORG 000BHAJMP T0INTORG 0013HAJMP INT1SUBORG 001BHAJMP T1INTORG 0040H MAIN: MOV R1,#0FH...

鄂温克族自治旗18029126172: 数字电子技术课程设计:八路智力竞赛抢答器的仿真电路图 -
蓍饱益平: 下面这个图是五路抢答器的,按照同样的道理多加三个就成了八路抢答器 另一电路 基于4511的八路抢答器:仿真电路3:

鄂温克族自治旗18029126172: 利用AT89C51 进行 8路抢答器的设计 -
蓍饱益平: 1.设计一个八路抢答器,可以同时供8命选手参加比赛,分别用8个按钮S0~S7来表示.2.有一个主持人控制电路,由主持人来控制抢答器的运行.3.抢答器具有锁存、定时、显示和报警功能.即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码

鄂温克族自治旗18029126172: 怎样用单片机做八路抢答器 -
蓍饱益平: 拿一个口,接8个按键,给这8个按键编号.让单片机实时扫描这些按键,检测到有按下的,就终止扫描,处理相应的键值.那个建按下就让数码管显示相应的编号就是了,很简单的.

鄂温克族自治旗18029126172: 怎么样用单片机搭接8路抢答器?
蓍饱益平: 数显一个 最好用供阳的,最好接P0口 4个 按键 上拉上电阻 低电平有效 程序一直扫描按键 有按下执行相应显示 并且死机. 使用完后 可以复位 从新使用 你如果会 单片机的话 这个东西 1个小时 连程序带板子就全能搞定 很简单

鄂温克族自治旗18029126172: C语言在编写八路抢答器,共10个按钮,一个启动,一个停止,八个抢答按钮.用AT89C51控制,最好有原理图 -
蓍饱益平: 其实,需要补充的还很多,比如,出现了抢答,要有显示,无论是数字还是灯光.其实,简单的逻辑电路也可以实现,就是复杂了些.如果用单片机实现,跟键盘一样,这里面需要有一个去抖动的算法,但是,如果两个或以上抢答按钮同时出现,这里面就会变得比较复杂.原理图应该非常简单,找一个口做8个按钮的输入,比如P0.另外,比如P1,应该用一个口的8个输出用来显示谁抢到了,或者,用数码管,好在管脚的数量没什么区别.最后,再找一个口,就是开始、结束按钮,并且,这里面应该有蜂鸣器,提示开始、结束、有人抢答.关键的问题在去抖动的算法上,而不在原理图上.

鄂温克族自治旗18029126172: 数字电路抢答器设计 -
蓍饱益平: 用数字电路实现抢答器 一、设计目标 设计一个带有用户选手按下后,其他用户选手按下无效,同时,响警报、显示是谁按下的.由主持人开关复位的抢答器. 二、 基本功能 我设计的抢答器有如下功能:有人按下时,显示是谁按下的.同时,其...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网