求数字抢答器的设计带图和具体步骤

作者&投稿:汲谭 (若有异议请与网页底部的电邮联系)
求抢答器的设计与原理图求大神帮助~

抢答器的设计与制作 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。因此学习了数字电路之后,自己设计一个简易智力竞赛抢答器。 一、 设计任务与要求 1. 抢答器同时供4名选手或4个代表队比赛,分别用4个按钮A、B、C、D表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能。当主持人启动"开始"键后,定时器进行计时. 5. 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编号,并保持到主持人将系统清除为止。 6. 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。 二、抢答器的组成 抢答器的一般构成框图如上图所示。 三、设计原理与参考电路 1.数字抢答器总体方框图 图1抢答器整体电路图 .如图1所示为总体方框图。其工作原理为:接通电源后,主持人宣布"开始"同时按下按键开关S抢答器工作。记时器计时、指示灯不亮。选手在60秒内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示、指示灯亮。指示灯亮禁止二次抢答。如果在60秒内无人抢答,扬声器提示、指示灯X1亮,抢答无效。再次抢答必须由主持人再次按下按键开关S。 40秒提示:计时器到40时,发光指示灯会闪烁一下,扬声器会发出一次声音,这是因为信号延迟造成的:计时器到40前,计数器的十位显示3,此时输入与非门U9A的信号为01,当计数器到40时,输入与非门U9A的信号为10,因为信号的延迟使输入与非门U9A的信号为11,与非门U9A的输出信号为低电平0,此低电平0与U1A输出的低电平0经过与非门U6A,与非门U6A输出就为高电平1,从而使指示灯X1发光,扬声器发出声音。 2.单元电路设计 (1) 抢答器电路 图2抢答器电路图 .参考电路如图2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;同时禁止其他选手抢答,抢答无效。 74LS175的功能真值表: 清零CLR 时钟CLK 输入 D 输出Q 1Х Х 清零(Q=0) 0 1 1 0 0 0 0 0 X 保持(Q=Qn) 从表可见: ① CLR是清零端,且低电平有效。 ② CLK是时钟脉冲,且下降沿触发。 上图中的74ALS175N为一四路的锁存器,当CLK引脚输入上升沿时,1D-4D被锁存到输出端(1Q-4Q)。在CLK其他状态时,输出与输入无关。 电路通电后,按下复位按键S,1Q、Q2、Q3、Q4输出高电平。电路进入准备状态。这时,假设有按键A被按下,4D的输出将由低变成高电平,使4Q输输出为高电平经过或门U3A驱动数码管使数码管显示1(选手A的编号),同时使/4Q(4Q非)输出为低电平经过与门U4A输出低电平,此低电平与时钟脉冲经过与非门U2A形成一个上升沿作为74LS175 CLK的输入。因为74LS175是下降沿触发的,故按下除复位之外的任何的按键都将不会发生电路状态的变化,即输入被锁定。达到了既定的功能目标。 (2)计时电路 图3. 计时器电路图 参考电路如图3所示。该电路完成两个功能:一是进行计时,二是设定计时时间为60秒(脉冲信号的频率为1Hz)。 由节目主持人设定一次抢答的时间(本方案中以60秒为例),计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如图3所示。开关S3合上,此时计数器清零,开关S3断开,计数器开始计数。 74LS192的功能真值表: CLK LOAD UP DOWN D3 D2 D1 D0 Q3 Q2 Q1 Q0 1××××××× 0 0 0 0 0 0 ×↑ 0 1 ↑ 1 ×××× 加法计数 0 1 1 ↑×××× 减法计数 0 1 1 1 ×××× 保持 从表可见: ① CLK是清零端,且高电平有效。 ② UP 和DOWN是两个时钟脉冲,当LOAD置1时,时钟脉冲由UP端接入,且DOWN输入高电平时74LS192处于加法计数状态;当LOAD置1时时钟脉冲从DOWN端输入,且UP输入高电平时,74LS192处于减法计数状态。 ③CO是进位端,BO是借位端。 当60秒时间到时,Qb和Qc输出为高电平1,经过与非门U9A后输出低电平0,此低电平0与时钟脉冲经过与门U11A输出低电平0使计时器停止工作。 (3)报警电路 图4.报警电路图 由555定时器和三极管构成的报警电路如图4所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。 555的引脚对应的关系为: RST—复位,DIS—放电,THR—阀值,TRI—触发, CON—控制电压,OUT—输出; 四、所需器材 1. 集成电路74LS175 1片,74LS192 2片,NE555 1片,74LS00 4片,74LS02 1片,,74LS04 2片,,74LS08 1片,74LS21 1片,74LS32 2片,4002 1片; 2. 电阻 9只; 3. 电容2只; 4. 三极管1只。 5. 其它:显示器3只,电源。 五、实验小结 该抢答器实现的功能有: 1. 优先抢答锁存; 2. 显示抢答选手号码; 3. 抢答计时; 4. 抢答报警(既有声音又有光:扬声器和发光指示灯); 5. 计时器到40时会有提示(指示灯会闪烁一下,扬声器会发出一次声音)。

电路原理如附图,它由IC1和一个编码开关以及控制器等组成。编码开关是由IC1中的输入端A、B、C、D与二极管和按键组成。


验证编码开关是否正确,只要按住任意一个按键。使它有一个正电平输入,数码管就会显示相应的数字来。A、B、C、D这四条编码线。
分别是1、2、4、8。3则是由1+2同时输入一个正电平获得,5则由1+4获得,6则由2+4获得,7则由1+2+4获得,二极管是起反向截止作用的。
扩展资料:
抢答器,原理:如果为四路,当其中任一路控下后,其他几路即失效,结果为第一次按下的,可以用数码管或是LED灯来显示,当然这里只是讲原理与编程,具体可以根据抢答器路数及显示方式更改程序即可。
源程序如下:
/*用的是AT89S52开发板,独立按键接口如下,就用这四路。先按下的用LED灯来显示,对应第一个到第四个LED灯,其他再按无效,如果想再次实现,可手动复位单片机*/
#include
sbit key1=P3^0; //定义按键,根据需要连接线路,如独立按键(4路)
sbit key2=P3^1;
sbit key3=P3^2;
sbit key4=P3^3。
参考资料来源:百度百科-抢答器

抢答器的电路图 阎石版的数电第4版第4章课后习题的最后一道就有设计我给你拍下来就是了。 电路设计图:



(第1张)



(第2张)


掌握抢答器的工作原理及其设计方法
掌握抢答器的工作原理及其设计方法。重点:定时抢答器的总体框图的产生。难点:抢答器控制电路的设计。一、抢答器的功能要求·基本功能①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是So、S1、S2、...

课程设计:简易抢答器
简易抢答器的课程设计虽然并不复杂,但对于一些同学来说还是有一定难度的。我们首先需要完成需求分析,找到程序开发需要的硬件,同时掌握开发所需的软件;接下来,我们也需要对于抢答器的工作原理有一定的了解,以保证程序设计思路的顺畅;最后,我们需要在选择完合适的硬件和软件环境之后,进行各种测试,以验证...

六路抢答器课程设计及其报告(急急急) 谢谢各位朋友帮忙!!
设计任务及要求设计一个智力竞赛抢答器,具体要求如下:(1)可同时供6名选手或6个代表队参加比赛,每个选手一个抢答按钮,按钮的编号与选手的编号相对应;(2)节目主持人设置一个控... 设计任务及要求设计一个智力竞赛抢答器,具体要求如下:(1)可同时供6名选手或6个代表队参加比赛,每个选手一个抢答按钮,按钮的编号...

用PLC设计一个抢答器电路当主持人按下开始按钮时才可抢答否则犯规若无人...
如图所示,X0开始,X1复位,X2-X5为抢答按钮,Y0-Y3为抢答指示灯。望采纳。。。

设计一个数字式竞赛抢答器,急求!!! word形式!!!有仿真!!! 设计完了...
1.设计制作一个可容纳六组参赛的数字式抢答器,每组设计一个抢答按钮供抢答者使用。2.电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别,同时扬声器发出“嘀-嘟”的双音音响持续2~3秒。此时,...

用PLC设计4人抢答器,4人抢答按钮为X0~X3,对应灯为Y0~Y3,主持人按钮为X4...
但由于可编程控制器具有可靠性高、通用性强、程序设计简单及便于安装调试等优点。它在工业中的各个领域中得到了广泛的应用。 可编程控制器的机型较多,但其基本结构和工作原理相同,基本指令、控制功能和编程方法类似。本设计书以PLC控制的四路抢答器为例,主要介绍了可编程控制器的基础知识、基本结构、指令系统、程序...

设计一个智力竞赛抢答器可同时供8人参加比赛,他们的编号分别是0、1...
第一章抢答器设计功能分析1.1 数字抢答器的概述对于抢答器我们大家来说都不陌生,它是用于很多竞赛场合,真正实现先抢先答,让最先抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。1.2 设计任务与要求基本要求:1....

数字显示抢答器设计的英文翻译是什么
数字显示抢答器设计 Digital Display Competition Quiz Machine Design

求plc抢答器设计,高手来,带程序,梯形图,给高分,好的加分
PROGRAM QiangDaQi VAR_INPUT UserKeyPressed : ARRAY [1..USER_NUM] OF BOOL; (* user key *)ResetButton : BOOL; (* reset key *)END_VAR VAR_OUTPUT UserKeyLamp : ARRAY [1..USER_NUM] OF BOOL; (* lamp to indicate one user key pressed *)END_VAR VAR Idx : DINT;RT...

帮我设计一个六人抢答器,急~~
给你一个自动8路数显抢答器电路,较适用的。你可用6路。

焉耆回族自治县15051754909: 数字电路抢答器设计 -
生俭青霉: 用数字电路实现抢答器 一、设计目标 设计一个带有用户选手按下后,其他用户选手按下无效,同时,响警报、显示是谁按下的.由主持人开关复位的抢答器. 二、 基本功能 我设计的抢答器有如下功能:有人按下时,显示是谁按下的.同时,其...

焉耆回族自治县15051754909: 数字电路课程设计 设计一个四人抢答器,要求如下: -
生俭青霉: 我曾经做过一个当时的要求如下一 设计任务 数字式竞赛抢答器二 设计条件 本设计基于学校实验室Multisim8.0仿真软件和计算机. 三 设计要求 1、 设计制作一个可容纳4组的数字式抢答器,每组设置一个抢答按钮供抢答者使用. 2、 根据数字...

焉耆回族自治县15051754909: PLC抢答器梯形图设计一个4人抢答器,每名选手都有对应的按钮和灯(x1 - y1、x2 - y2、x3 - y3、x4 - y4),裁判按下按钮x5后有10秒的抢答机会,超过10秒后,... -
生俭青霉:[答案] 首先,梯子是基于一个自上而下,从左到右的顺序.垂直线两侧成为公共巴士.然后,使用在梯子“软继电器”.在梯形常见的“能量流”来分析PLC程序的工作.这个“能量流”是虚电流,方向“从左到右”.

焉耆回族自治县15051754909: 数字抢答器的设计 -
生俭青霉: 抢答器的设计与制作 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识.因此学习了数字电路之后,自己设计一个简易智力竞赛抢...

焉耆回族自治县15051754909: 推荐一个电子技术课程设计 简单点的,谢谢了 最好配电路图和元器件 -
生俭青霉: 比如八路抢答器就很简单,如下:(图片可以到我空间看,这里插入不了那么多张) 一、电路结构图: 图一 以下图二、图三为图一的放大图: 图二 图三本是一个简易实用的8路数字显示抢答器,图一为该抢答器的核心部分,包括抢答、编码...

焉耆回族自治县15051754909: 关于三人抢答器普通电路图设计 -
生俭青霉: 原发布者:瓯367《数字电子技术实验》之三人抢答器要求:用74LS双D触发器实现三人智力抢答器⒈设置一个主持人开关和三个个人抢答开关.⒉按下主持人开关,个人指示灯灭,并解除对抢答人的封锁.⒊按下个人开关,自身的指示灯亮,同时封锁其他人抢答.图中74LS74芯片标号为:1~1CLR31CLK~1Q6~1PR74LS74D1Q21D5U3B4

焉耆回族自治县15051754909: 4路数字竞赛抢答器设计 -
生俭青霉: 你好,你说的是四路抢答器吧!我正好是这方面的工作人员,电路图如下网站(这是继电器电路,左端电源要足够大才可以,右边是发光二极管,换成灯一样的 ),给个好评吧....

焉耆回族自治县15051754909: 八路数字抢答器的设计 -
生俭青霉: 1.供给主持人的按键有两个, 系统清零和开始抢答.2.能显示是哪位选手抢答成功,同时显示该选手有60秒(倒计时)答题时间!3.主持人按下抢答按键时,显示30秒(倒计时)抢答时间.如果主持人没按下抢答按键而有选手按抢答键,数码管闪烁显示犯规的选手号码,同时蜂鸣器报警!4.如果定时时间到,无人抢答,系统禁止抢答,报警功能我没实现,数码管上显示FF.你可以自己修改为00.5.同时提供另外两个按键,功能是主持人能调节抢答的时间和答题的时间! 方案:基于单片机的八路抢答器!具体电路图和汇编程序请进入本人的博客,有全部资料,作品我也用面包板焊好同时实现了功能!

焉耆回族自治县15051754909: 数字电子技术课程设计:八路智力竞赛抢答器的仿真电路图 -
生俭青霉: 下面这个图是五路抢答器的,按照同样的道理多加三个就成了八路抢答器 另一电路 基于4511的八路抢答器:仿真电路3:

焉耆回族自治县15051754909: 数字逻辑竞赛抢答器设计 -
生俭青霉: 这种设计出来的抢答器看看是采用那种电路如果使用数字电路就没有公平性!同时抢答时是固定显示一个号位,建议使用MCU这样做出的抢答器是合格的抢答器.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网