verilog 控制LED灯亮灭 各位verilog高手,麻烦帮我看一下程序,不能达到预期的结果。

作者&投稿:汉届 (若有异议请与网页底部的电邮联系)
Verilog 编写的LED 程序,求高手详细解释一下,我是新手~

buffer<=buffer+1'b1;这是一个阻塞式语句 一般用于时序逻辑中 有一个时钟的延时
该句等效于C语言的 buffer++;

module leds( input button, input clk, output reg ctrl[2:0] ); reg button_dly; wire button_vld; always@(posedge clk) button_dly

你这个I2C时钟应该不是一直存在的吧?这样的话,你的初始化值都达不到预想的效果哦,因为有I2C_CLK的时候就不可能有reset了
这样试试:一直按着manual_reset,然后随便输入一个数,接下来再松开manual_reset,再做你想做的动作应该就可以了。
如果你把I2C时钟搞成一直存在的话,那i2ccount和I2C_data之间的关系就很难确定了,因为你一上电i2ccout就开始计数了,并不是你输入数据的时候开始计数 ,这样的话,可能你输入130的时候,i2ccount已经是0-8之间任意一个数了

1。先看仿真是否OK。
2。再把你要看的信号接到逻辑分析仪或者示波器上,在你说的那种情况下,看看是什么信号没有起来。
FPGA/CPLD调试的思路主要是看data path上的信号,慢慢查总归可以查到的


边坝县13181258369: verilog中,我想实现以下功能:当条件1满足时,让led灯亮0.5秒,再熄灭,3.5秒后,再亮0 -
吉竿恒康: 设个寄存器做计数器 alway(条件1满足) begin if count=xxx,对应4秒 count=0 else count=count+1 endalways(条件1满足) begin led=1 count=0 endalways(clk) if(count=XXX;对应0.5秒) led=0 else led=1

边坝县13181258369: 用verilog语言编写“一个按钮控制多盏灯的亮灭”的程序 -
吉竿恒康: 怎么控制呢? 按一下全亮全灭还是按一下一个一个的接着亮灭?

边坝县13181258369: verilog 控制LED灯亮灭 各位verilog高手,麻烦帮我看一下程序,不能达到预期的结果. -
吉竿恒康: 1.先看仿真是否OK.2.再把你要看的信号接到逻辑分析仪或者示波器上,在你说的那种情况下,看看是什么信号没有起来.FPGA/CPLD调试的思路主要是看data path上的信号,慢慢查总归可以查到的

边坝县13181258369: 用verilog设计一个按键控制一个LED灯,按一下建LED灯就显示0在按一下LED灯就显示1就这样按直到显示到9,在按一下LED灯还显示0,不按还显示原来那个数字 -
吉竿恒康: 这个程序可以不用时钟,即使使用时钟你这个方法也是不对的; 正确的做法:如果按键a低表示按下,那么只需要判断a的上升沿然后加一次就可以了,同时你需要一个复位按键用来复位;具体程序如下,已仿真,完全正确;请给分,有不明白...

边坝县13181258369: 我想用verilog中case语句来实现用八个键控制12灯中某些的亮灭 -
吉竿恒康: 把这个8个变量用{} concat起来.case({a0,a1,a2,a3,a4,a5,a6,a7}) 可以参考casex casez,并且理解一下什么是full_case 什么是 parallel_case

边坝县13181258369: 如何用verilog写8个流水灯 -
吉竿恒康: module first_soft (clk, rst, led);//port input clk, rst;output [7:0] led; reg [7:0] led; reg [24:0] count;//计数器 reg [24:0] speed;//速度 reg [3:0] state;//状态,[3]=1:正转;[3]=0:翻转;{2,0}速度always @(posedge clk or negedge rst)//自动变频流水...

边坝县13181258369: verilog 初学者求灯亮延时程序~~ -
吉竿恒康: 这个明显用状态机做:按键1按一次计时开始;按键2允许计时-停止计时-使得计时器归零;

边坝县13181258369: verilog跑马灯修改实现奇数灯循环亮 偶数灯一直灭 -
吉竿恒康: 你应该把led[7:0] = {led[6:0],led[7]};//left shift 这个语句换成非阻塞赋值试试,我感觉你的应该是这里出了问题,在一个always中即用阻塞赋值又用非阻塞赋值是不好的,最好是赋值方式相同,若有问题再追问.

边坝县13181258369: 请用一个按钮去控制一盏LED灯的点亮与熄灭.当按钮按下的时候灯亮,按钮松开的时候灯灭.用C语言编写. -
吉竿恒康: 以51为例子,假如低电平灯亮 #include<reg51.h> sbit K1=P1^0 //P^0接开关 sbit LED=P1^1;//接LED void main(void) { P1=oxff;//初始化P1 while(1) { LED=~K1; }}

边坝县13181258369: 怎样用单片机控制两个LED同时亮 -
吉竿恒康: 同时亮或灭,只能使用字节传送指令,如:MOV P1, #11111100B 这样,P1.1和P1.0外接的LED将会同时亮.MOV P1, #11111111B 这样,P1.1和P1.0外接的LED将会同时灭.不同时灭,可以在不同的时刻使用位操作指令,如:SETB P1.1 和 SETB P1.0 即可.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网