VHDL智力抢答器总是出现一个错误:expected an integer value 怎么解决?急急急 马上就要验收啦!!!!

作者&投稿:宗圣征 (若有异议请与网页底部的电邮联系)
我做的是60秒倒计时吗,在vhdl中第15,和16行中VHDL syntax error:expected an integer value,这个该怎~

变量是局部的,只能在进程(process或者子程序)里面进行声明或者使用,不能放在结构体中。如果是信号可以看作是全局的。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity QDQ4R is
port(sta:in std_logic;
clk :in std_logic;
rst :in std_logic;
a,b,c,d :in std_logic;
lb :out std_logic;
t_limit :out std_logic_vector(3 downto 0);
led :out std_logic_vector(3 downto 0);
seg :out std_logic_vector(3 downto 0));

end QDQ4R;
architecture bhe of QDQ4R is
signal tem :std_logic_vector(3 downto 0);
signal scq :std_logic;
signal s :std_logic;
signal t :std_logic;
signal ns :std_logic;
signal s_sta :std_logic;
constant w1 :std_logic_vector:="0001";
constant w2 :std_logic_vector:="0010";
constant w3 :std_logic_vector:="0011";
constant w4 :std_logic_vector:="0100";
begin
tem<=d&c&b&a;
s<=a or b or c or d;
ns<=not(a or b or c or d);
p1: process(sta,ns)
begin
if sta='1' then
s_sta<='1';
elsif(ns'event and ns='1')then
s_sta<='0';
end if;
end process p1;
p2: process(rst,s_sta,s,t)
begin
if rst='1' then
led<="0000";
elsif(s'event and s='1')then
if (s_sta='1' and t='1') then
case tem is
when "0001"=> led<="0001";
when "0010"=> led<="0010";
when "0100"=> led<="0100";
when "1000"=> led<="1000";
when others=> led<="0000";
end case;
end if;
end if;
end process p2;

p3: process(clk,s_sta)
variable lb_cnt :std_logic_vector(1 downto 0):="10";
begin
if(s='1') then
if (clk='1' and clk'event) then
if lb_cnt>"00" then
lb<=clk;
lb_cnt:=lb_cnt+1;
else
lb_cnt:="10";
lb<='0';
end if;
end if;
end if;
end process p3;
p4: process(s)
begin
if(rst='1') then
seg<="0000";
elsif(s'event and s='1') then
case tem is
when "0001" =>seg<=w1;
when "0010" =>seg<=w2;
when "0100" =>seg<=w3;
when "1000" =>seg<=w4;
when others =>seg<="0000";
end case;
end if;
end process p4;
p5: process(clk,s_sta)
variable s_time :std_logic_vector(3 downto 0):="1010";
begin
if(clk'event and clk='1') then
if(sta='1' and s_time>"0000") then
t<='1';
s_time:=s_time-1;
t_limit<=s_time;
else
s_time:="1010";
t<='0';
end if;
end if;
end process p5;
end bhe;

不要给信号赋予仿真初值。信号的赋值应当在结构体中通过不同情况下的赋值语句来完成。
去掉信号的赋值


如何提高fpga的编程能力
从大学时代第一次接触FPGA至今已有10多年的时间。至今记得当初第一次在EDA实验平台上完成数字秒表,抢答器,密码锁等实验时,那个兴奋劲。当时由于没有接触到HDL硬件描述语言,设计都是在MAX+plus II原理图环境下用74系列逻辑器件搭建起来的。后来读研究生,工作陆陆续续也用过Quartus II,Foundation,ISE...

需要cpld和fpga的详细说明
7128这块芯片各管脚已引出,将数码管、抢答开关、指示灯、蜂鸣器通过导线分别接到芯片板上,通电测试,当...如果器件驱动多个I\/O负载,大量的动态电流构成总功耗的主要部分。 对设计中给定的驱动器,动态功耗由下

FPGA\/CPLD应用设计200例的目录
40数字抢答器1.41序列检测器1.42UART通用异步串行口设计1.43简易周期信号测试仪1.44序列信号发生器1.45通信、雷达和遥测用序列检测器的设计1.46数字密码锁1.47伪随机序列信号发生器设计1.48FIFO存储器的VHDL描述1.49采用VerilogHDL语言设计的UART通用异步收发器.1.50倍频电路1.51双向数据转换器1....

尉氏县15334679023: 请帮忙修改一下vhdl程序错误,这是一个抢答器的锁存模块,每条程序顶行写错误就没了,请帮忙修改下,谢谢 -
贺平代宁: 1."end if;"太多了,将连续4个"end if;"删掉3个,剩下一个就行了.2.信号bj没有用处.没有必要声明这个信号.3.在进程体中应当采用CASE语句判断抢答按键,而不应当采用if语句.IF语句是带有优先权结构的电路,抢答不应当有优先权.

尉氏县15334679023: 1.甲乙丙三人进行智力抢答活动,规定:第一个问题由乙提出,由甲丙抢答,以后在抢答过程中若甲答对一题就可提六个问题,乙答对1题就可提5个问题,丙... -
贺平代宁:[答案] 1. 设甲、乙、丙答对得题数分别为X,Y,Z 6X+5Y+4Z+1=X+Y+Z+16 5X+4Y+3Z=15 X,Y,Z为正整数.所以X=1,Y=1,Z=2

尉氏县15334679023: 我用的是multisim10的汉化版,搭了个八路智能抢答器,出现错误,调试信息提示如下:请高手帮忙分析. -
贺平代宁: Multisim收敛助理总结报告 收敛助理总结报告 结果:收敛助理无法正确的问题.请参考帮助文件的仿真错误的更多信息和如何纠正.Multisim收敛助理日志 步骤1:验证错误的情况下 ……完成.步骤2:设置参数的集成方法(方法)来齿轮 模拟...

尉氏县15334679023: 基于单片机的电子抢答器会出现哪些常见问题,并如何解决. -
贺平代宁: 主要就是对选手按键的时间先后,鉴别力不够.单片机速度太低,通常要隔十几个微秒,才检测一次按键,此期间,虽然有选手_先_后_按_键_,但是单片机却是同时读取,然后按照内定的程序报出一个选手的号码.明显有失公平.如果使用电子电路构成抢答电路,可以将鉴别时间控制在纳秒的级别.

尉氏县15334679023: 大神 我看了您给别人回答的8人抢答器的程序 我用伟福6000检测 程序提示老有错误是怎么回事 赶着交呢 谢谢 -
贺平代宁: //注释没加注释号#include#define uchar unsigned char uchar table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f}; sbit rstkey=P2^2; sbit speaker=P2^0; void delay()//延时约400毫秒 { uchar i,j; for(i=0;ifor(j=0;j} main() { uchar i,key; P2=0xff; ...

尉氏县15334679023: 智力答题里怎么很多问题明明是回答正确的,但答案显示确是错误的?
贺平代宁: 他们设置的所谓正确答案和实际不一样呗,可能是设置的时候失误了吧,反正自己只要掌握了正确的知识就很好了,别在乎别人的错误

尉氏县15334679023: 高官能自闭症 -
贺平代宁: 自闭症的主要症状 1.社会交流障碍一般表现为缺乏与他人的交流或交流技巧,与父母亲之间缺乏安全依恋关系等.2.语言交流障碍语言发育落后,或者在正常语言发育后出现语言倒退,或语言缺乏交流性质.3.重复刻板行为.4.智力异常70%...

尉氏县15334679023: 用VHDL语言实现四人智力竞赛抢答器的设计,高分寻高人解答 -
贺平代宁: 各模块VHDL源代码1、抢答鉴别模块FENG的VHDL源程序--feng.vhd LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY FENG IS PORT(CP,CLR:IN STD_LOGIC; Q :OUT STD_LOGIC); END FENG; ARCHITECTURE FENG_ARC OF ...

尉氏县15334679023: 单片机智力测验抢答器的设计 -
贺平代宁: 、 功能描述 所设计的抢答器允许多人参加.没有出题时,每个参赛队员的LED数码管都显示当前的积分值,初始积分值为“100”(也可自行设定).在出题后,主持人按下“开始按钮”,表示计时开始,此时每个参赛者前面的红色发光二极管...

尉氏县15334679023: 请你给学校设计一个智力竞赛抢答器电路,要求供三组使用,任一组按下开关时铃都响, -
贺平代宁: 可以用逻辑电路来做,当然也可以用单片机 .先写出真值表,然后根据真值表写出逻辑表达式,化简后用TTL或CMOS电路搭制,不复杂,学过 数电就完全可以做出来.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网