急求单片机流水灯程序及详解

作者&投稿:当涂尚 (若有异议请与网页底部的电邮联系)
51单片机流水灯程序~

来自理科生的浪漫,用51单片机制作心形流水灯

你认真分析原理图啊,上面明显接成共阳的了。

1、51单片机上电时,端口都是高电平的,只有运行程序,电平才可能产生变化。复位时,端口也是高电平。

2、你这仿真图中,LED正端都接到电源了,负端接单片机IO,根据二极管正向导通原理,此时负端肯定要为低电平,二极管才导通,才会亮。

所以,你要点亮哪个LED,你就要让那个IO口输出0。
0xfe,二进制为1111 1110,正好是P0.0输出0,其它都为高,所以P0.0那个灯就亮。

你要是输出0x01,二进制为0000 0001,可以看到,除了P0.0高电平,其它都是低电平。那么,P0.0那个灯肯定是不会亮的,而其它几个灯肯定都会亮。

你要是想输出1,灯亮,你就要把二极管换一种接法。

这样说,你明白嘛?

你好! 给你两个份实例 基本可以搞定啦 !

一。。。。流水灯实例
1. 基础知识:寻址方式是寻找、确定参与操作的数据的地址的方式。8051单片机的寻址方式包括寄存器寻址、直接寻址、寄存器间接寻址、立即寻址、变址寻址和位寻址7种寻址方式。
2. 硬件电路(等级不够还不能传图片哈)

3. 软件程序设计:
ORG 0000H ;伪指令,指定程序从0000H开始存放
LJMP MAIN; 跳转指令,程序跳转到MAIN处

ORG 0100H ;伪指令,指定以下程序从0100H开始存放
MAIN:
MOV SP,#60H ;给堆栈指针赋初值
MOV P1,#0FFH ;给P1赋初值,LED全灭
;以下为查表程序
MOV DPTR,#LED_TABLE
LIGHT:
MOV R7,#42
LOOP:
MOV A,#42
SUBB A,R7
MOVC A,@A+DPTR
MOV P1,A ;输出显示
LCALL DELAY ;调延时子程序
DJNZ R7,LOOP
SJMP LIGHT ;跳转,程序继续
DELAY:
MOV R7,#10H
DELAY0:
MOV R6,#7FH
DELAY1:
MOV R5,#7FH
DJNZ R5,$
DJNZ R6,DELAY1
DJNZ R7,DELAY0
RET
;表格数据
LED_TABLE:
DB 0FFH ;全部熄灭
DB 0FEH. , 0FDH , 0FBH , 0F7H , 0EFH , 0DFH , 0BFH, 07FH ;依次逐个点亮
DB 0FEH. , 0FCH , 0F8H , 0F0H , 0E0H , 0C0H , 080H, 000H ; 依次逐个叠加
DB 080H. , 0C0H , 0E0H , 0F0H , 0F8H , 0FCH , 0FEH, 0FFH ;依次逐个递减
DB 07EH. , 0BDH , 0DBH , 0E7H , 0E7H , 0DBH , 0BDH, 07EH ;两边靠拢后分开
DB 07EH. , 03CH , 01BH , 000H , 000H , 018H , 03CH, 07EH ;从两边叠加后递减
DB 000H ;全部点亮
END
4. 运行结果
程序运行后,将依次循环出现8只LED依次逐个点亮 、依次逐个叠加、依次逐个递减、从两边靠拢后分开、从两边叠加后递减的流水灯效果。
5. 技巧总结
查表指令可用于复杂代码转换显示,通过查表指令可以实现复杂的显示效果,并可以减少程序代码。
二 。。。。用单片机控制的LED流水灯设计(电路、程序全部给出)

1.引言
当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文笔者用AT89C51单片机自制了一款简易的流水灯,重点介绍了其软件编程方法,以期给单片机初学者以启发,更快地成为单片机领域的优秀人才。
2.硬件组成
按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。AT89C51单片机是美国ATMEL公司生产的低电压、高性能CMOS
8位单片机,具有丰富的内部资源:4kB闪存、128BRAM、32根I/O口线、2个16位定时/计数器、5个向量两级中断结构、2个全双工的串行口,具有4.25~5.50V的电压工作范围和0~24MHz工作频率,使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。其具体硬件组成如图1所示。

图1 流水灯硬件原理图
从原理图中可以看出,如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,
如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。在此我们还应注意一点,由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到“流水”效果了。
3.软件编程
单片机的应用系统由硬件和软件组成,上述硬件原理图搭建完成上电之后,我们还不能看到流水灯循环点亮的现象,我们还需要告诉单片机怎么来进行工作,即编写程序控制单片机管脚电平的高低变化,来实现发光二极管的一亮一灭。软件编程是单片机应用系统中的一个重要的组成部分,是单片机学习的重点和难点。下面我们以最简单的流水灯控制功能即实现8个LED灯的循环点亮,来介绍实现流水灯控制的几种软件编程方法。
3.1位控法
这是一种比较笨但又最易理解的方法,采用顺序程序结构,用位指令控制P1口的每一个位输出高低电平,从而来控制相应LED灯的亮灭。程序如下:
ORG 0000H ;单片机上电后从0000H地址执行
AJMP START ;跳转到主程序存放地址处
ORG 0030H ;设置主程序开始地址
START:MOV SP,#60H ;设置堆栈起始地址为60H
CLR P1.0 ;P1.0输出低电平,使LED1点亮
ACALL DELAY ;调用延时子程序
SETB P1.0 ;P1.0输出高电平,使LED1熄灭
CLR P1.1 ;P1.1输出低电平,使LED2点亮
ACALL DELAY ;调用延时子程序
SETB P1.1 ;P1.1输出高电平,使LED2熄灭
CLR P1.2 ;P1.2输出低电平,使LED3点亮
ACALL DELAY ;调用延时子程序
SETB P1.2   ;P1.2输出高电平,使LED3熄灭
CLR P1.3 ;P1.3输出低电平,使LED4点亮
ACALL DELAY ;调用延时子程序
SETB P1.3 ;P1.3输出高电平,使LED4熄灭
CLR P1.4 ;P1.4输出低电平,使LED5点亮
ACALL DELAY ;调用延时子程序
SETB P1.4 ;P1.4输出高电平,使LED5熄灭
CLR P1.5 ;P1.5输出低电平,使LED6点亮
ACALL DELAY ;调用延时子程序
SETB P1.5 ;P1.5输出高电平,使LED6熄灭
CLR P1.6 ;P1.6输出低电平,使LED7点亮
ACALL DELAY ;调用延时子程序
SETB P1.6 ;P1.6输出高电平,使LED7熄灭
CLR P1.7 ;P1.7输出低电平,使LED8点亮
ACALL DELAY ;调用延时子程序
SETB P1.7 ;P1.7输出高电平,使LED8熄灭
ACALL DELAY ;调用延时子程序
AJMP START ;8个LED流了一遍后返回到标号START处再循环
DELAY: ;延时子程序
MOV R0,#255 ;延时一段时间
D1: MOV R1,#255
DJNZ R1,$
DJNZ R0,D1
RET ;子程序返回
END ;程序结束
3.2循环移位法
在上个程序中我们是逐个控制P1端口的每个位来实现的,因此程序显得有点复杂,下面我们利用循环移位指令,采用循环程序结构进行编程。我们在程序一开始就给P1口送一个数,这个数本身就让P1.0先低,其他位为高,然后延时一段时间,再让这个数据向高位移动,然后再输出至P1口,这样就实现“流水”效果啦。由于8051系列单片机的指令中只有对累加器ACC中数据左移或右移的指令,因此实际编程中我们应把需移动的数据先放到ACC中,让其移动,然后将ACC移动后的数据再转送到P1口,这样同样可以实现“流水”效果。具体编程如下所示,程序结构确实简单了很多。
ORG 0000H ;单片机上电后从0000H地址执行
AJMP START ;跳转到主程序存放地址处
ORG 0030H ;设置主程序开始地址
START:MOV SP,#60H ;设置堆栈起始地址为60H
MOV A,#0FEH ;ACC中先装入LED1亮的数据(二进制的11111110)
MOV P1,A ;将ACC的数据送P1口
MOV R0,#7 ;将数据再移动7次就完成一个8位流水过程
LOOP: RL A ;将ACC中的数据左移一位
MOV P1,A ;把ACC移动过的数据送p1口显示
ACALL DELAY ;调用延时子程序
DJNZ R0,LOOP ;没有移动够7次继续移动
AJMP START ;移动完7次后跳到开始重来,以达到循环流动效果
DELAY: ;延时子程序
MOV R0,#255 ;延时一段时间
D1: MOV R1,#255
DJNZ R1,$
DJNZ R0,D1
RET ;子程序返回
END ;程序结束
3.3查表法

上面的两个程序都是比较简单的流水灯程序,“流水”花样只能实现单一的“从左到右”流方式。运用查表法所编写的流水灯程序,能够实现任意方式流水,而且流水花样无限,只要更改流水花样数据表的流水数据就可以随意添加或改变流水花样,真正实现随心所欲的流水灯效果。我们首先把要显示流水花样的数据建在一个以TAB为标号的数据表中,然后通过查表指令“MOVC A,@A+DPTR”把数据取到累加器A中,然后再送到P1口进行显示。具体源程序如下,TAB标号处的数据表可以根据实现效果的要求任意修改。
ORG 0000H ;单片机上电后从0000H地址执行
AJMP START ;跳转到主程序存放地址处
ORG 0030H ;设置主程序开始地址
START:MOV SP,#60H ;设置堆栈起始地址为60H
MOV DPTR,# TAB ;流水花样表首地址送DPTR
LOOP: CLR A ;累加器清零
MOVC A,@A+DPTR ;取数据表中的值
CJNE A,#0FFH,SHOW;检查流水结束标志
AJMP START ;所有花样流完,则从头开始重复流
SHOW: MOV P1,A ;将数据送到P1口
ACALL DELAY ;调用延时子程序
INC DPTR ;取数据表指针指向下一数据
AJMP LOOP ;继续查表取数据
DELAY: ;延时子程序
MOV R0,#255 ;延时一段时间
D1: MOV R1,#255
DJNZ R1,$
DJNZ R0,D1
RET   ;子程序返回
TAB: ;下面是流水花样数据表,用户可据要求任意编写
DB 11111110B ;二进制表示的流水花样数据,从低到高左移
DB 11111101B
DB 11111011B
DB 11110111B
DB 11101111B
DB 11011111B
DB 10111111B
DB 01111111B
DB 01111111B ;二进制表示的流水花样数据,从高到低右移
DB 10111111B
DB 11011111B
DB 11101111B
DB 11110111B
DB 11111011B
DB 11111101B
DB 11111110B
DB 0FEH,0FDH,0FBH,0F7H ;十六进制表示的流水花样数据
DB 0EFH,0DFH,0BFH,7FH
DB 7FH,0BFH,0DFH,0EFH
DB 0F7H,0FBH,0FDH,0FEH
……
DB 0FFH ;流水花样结束标志0FFH
END ;程序结束
4.结语
当上述程序之一编写好以后,我们需要使用编译软件对其编译,得到单片机所能识别的二进制代码,然后再用编程器将二进制代码烧写到AT89C51单片机中,最后连接好电路通电,我们就看到LED1~LED8的“流水”效果了。本文所给程序实现的功能比较简单,旨在抛砖引玉,用户可以自己在此基础上扩展更复杂的流水灯控制,比如键盘控制流水花样、控制流水灯显示数字或图案等等。

希望能帮上你

#include <reg51.h>
#define LED P0 //送0亮灯 (具体与硬件连接有关)

unsigned char flag;
unsigned char i=0;
void InitTimer0(void);
void step1(void);
void step2(void);
void step3(void);
void step4(void);
void delay_50ms(unsigned char a);
void main(void)
{

InitTimer0(); //初始化定时器0,用于延时
while(1) //死循环
{
step1();
step2();
step3();
step4();
}
}
//////////////////////////////////
///flag=1时100ms
///
void Timer0Interrupt(void) interrupt 1
{
TH0 = 0x3C;
TL0 = 0x0B0;
i++;
}
////////////////////////////
//////12MHz 定时50ms,暂不开启定时器
void InitTimer0(void)
{
TMOD = 0x01;
TH0 = 0x3C;
TL0 = 0x0B0;
EA = 1;
ET0 = 1;
// TR0 = 1;
}
//////////////////////////////////////////
void step1(void)
{
LED=0; //送0亮灯,八位全亮
delay_50ms(3);//delay 150ms
LED=0x0ff; //送1灯灭,八位全灭
delay_50ms(3); //闪第1次
LED=0;
delay_50ms(3);
LED=0x0ff;
delay_50ms(3); //闪第2次
}
//////////////////////////////////////
void step2(void)
{ unsigned char t;
LED=0xfe; //P0最后一个灯亮
for(t=0;t<8;t++)
{ delay_50ms(10); //delay 500ms
LED=LED<<1;
LED=LED|0x01; //一次点亮一个
}
///////////////////////////////////
}
void step3(void)
{
unsigned char t;
LED=0xff;
for(t=0;t<8;t++)
{
delay_50ms(6); //delay 300ms
LED=LED<<1;
// LED=LED|0x01;
}
}
/////////////////////////////////////////////
void step4(void)
{
unsigned char t;
LED=0;
for(t=0;t<8;t++)
{
delay_50ms(20);
LED=LED>>1;
LED=LED|0x80;
}
}
///////////////////////////////////////////
void delay_50ms(unsigned char a)
{
TR0 = 1; // 开启定时器
while (i<a);
TR0 = 0; //关闭定时器
i=0;
}
////////////////////////////////////////

用PLC编程容易些,用梯型图表示。单片机要就是很多程序,理解起来也麻烦,不易懂。要耐心等等

QQ:38147998

这么简单的。。。自己想吧。。。不然单片机白学了。。


单片机流水灯C语言程序(8个灯,依次点亮每个灯,延时500MS)
define uint unsigned int \/\/定义无符号整数 void delay(uint); \/\/声明延时函数 void main(void){ uint i;uchar temp;while(1){ temp=0x01;for(i=0;i<8;i++) \/\/8个流水灯逐个闪动 { P1=~temp;delay(100); \/\/调用延时函数 temp<<=1;} temp=0x80;for(i=0;i<8;i++) \/\/8个...

怎样用单片机做一个简单的流水灯?
在这个单片机流水灯代码中,假设使用的是8051单片机。需要定义8个LED灯,分别连接到P1端口的不同引脚上。然后使用一个循环结构来控制这8个LED灯按照一定的顺序逐个亮起,形成流水灯的效果。具体来说,使用for循环来控制LED灯的亮灭顺序。在每次循环中,通过给P1端口赋值来控制LED灯的亮灭状态。例如,给P...

流水灯单片机程序代码
单片机流水灯程序代码(汇编语言):ORG0000HAJMPMAINORG0030HMAIN:MOVP1,#11111110BACALLDELAY;跳转到子程序MOVP1,#11111101BACALLDELAYMOVP1,#11111011BACALLDELAYMOVP1,#11110111BACALLDELAYMOVP1,#11101111BACALLDELAYMOVP1,#11011111BACALLDELAYMOVP1,#10111111BACALLDELAYMOVP1,#01111111BACALLDELAYAJMPM...

单片机流水灯程序怎么写?
可以用for循环试试unsingned char i;for(i=0;i<5;i++)\/\/流水灯闪烁程序。若干个灯泡依次点亮就叫流水灯,它用在夜间建筑物装饰方面。例如在建筑物的棱角上装上流水灯,可起到变换闪烁美不胜收的效果。单片机(Single-Chip Microcomputer)是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处...

流水灯单片机程序代码急求单片机流水灯程序及详解
关于流水灯单片机程序代码,急求单片机流水灯程序及详解这个很多人还不知道,今天来为大家解答以上的问题,现在让我们一起来看看吧!1、你好! 给你两个份实例 基本可以搞定啦 !一。2、流水灯实例1. 基础知识:寻址方式是寻找、确定参与操作的数据的地址的方式。3、8051单片机的寻址方式包括寄存器寻址、直接寻址、寄存器间接...

用单片机控制的LED流水灯设计(电路、程序全部给出)
软件编程:点亮LED的艺术 流水灯的魅力在于其动态变化,而软件编程则是关键。这里,我们将采用位操作法,通过顺序控制P1口的位,实现LED的循环点亮。比如,首先让LED 7亮起,通过SETB P1.6指令,紧接着LED 8亮起,执行CLR P1.7指令将其关闭。更深入的细节在于延时子程序的编写,如SETB\/P1.7后,...

单片机c语言,流水灯,时钟的程序!
网友您好!流水灯程序如下:include<reg51.h> sbit P1_0=P1^0;sbit P1_1=P1^1;sbit P1_2=P1^2;sbit P1_3=P1^3;sbit P1_4=P1^4;sbit P1_5=P1^5;sbit P1_6=P1^6;sbit P1_7=P1^7;sbit P3_0=P3^0;sbit P3_1=P3^1;sbit P3_2=P3^2;sbit P3_3=P3^3;void delay(...

用c语言编写单片机流水灯程序,(8个发光二极管从左至右循环点亮)_百度...
P3=0xfe;\/\/第一个灯亮 delay();\/\/延时 P3=0xfd;\/\/第二个灯亮 delay();P3=0xfb;\/\/第三个灯亮 delay();P3=0xf7;\/\/第四个灯亮 delay();P3=0xef;\/\/第五个灯亮 delay();P3=0xdf;\/\/第六个灯亮 delay();P3=0xbf;\/\/第七个灯亮 delay();P3=0x7f;\/\/第八个灯亮 delay();}...

求助高手:给些有关单片机花样流水灯程序 C语言编写
C语言程序如下:\/* 该实验是花样流水灯(8个发光二极管以各种方式循环点亮),功能是花样流水灯(8个发光二极管以1S间隔以各种方式循环点亮) *\/ include\/\/包含reg.52文件 define uint unsigned int\/\/宏定义 define uchar unsigned char\/\/宏定义 void delay(uint z);\/\/声明延时函数 uint a;\/\/定义循环...

单片机汇编语言流水灯程序延时0.5秒 一共四个灯循环
4只LED为共阳极连接,即单片机输出端为低电平时即可点亮LED。;用最直接的方式实现流水灯 ORG 0000H START:MOV P1,#01111111B ;最下面的LED点亮 LCALL DELAY ;延时60秒 LCALL DELAY ;延时60秒 MOV P1,#10111111B ;最下面第二个的LED点亮 LCALL DELAY ;延时60秒 LCALL DELAY ;...

萨尔图区19720306840: c51单片机流水灯显示花式程序,作业求详细 -
方蓝天麻: 都11点多了看到你的帖子,没有问回答这个作业很简单,我给你花5分钟时间写一个例子,我是用单片机P0口8位LED,具体程序如下: #include "REG52.H"// 显示花样流水灯编码 const unsigned char Expetr_ROM[] = { 0xFE, 0xFD, 0xFB, 0...

萨尔图区19720306840: 跪求一个最简单的单片机流水灯程序!并且附上对该程序的解释 急急急 -
方蓝天麻: ORG 0000H ;从RAM内存地址为0000H处执行程序 AJMP MAIN ;跳过中断地址区 ORG 0100H ;程序存储的起始地址 MAIN: MOV A,#11111110B ;给累加器A赋值 MAIN1: MOV P0,A ;P0=A,只有一个灯亮 ACALL DELAY ;调用延时程序 RL ...

萨尔图区19720306840: 51单片机,按键控制流水灯程序,懂的进!八个LED灯与单片机P1口连,按键key1与单片机P32连.该程序是完成以下工作:单片机上电,流水灯每一秒移一... -
方蓝天麻:[答案] 假如,你的程序在执行key_scan();是触发了两次中断导致num>20,你的显示函数将永远被跳过,你应该写成 if(num>20) { num=0; display(); } 这是一个比较直观的错误,其他的没细看,你在试试

萨尔图区19720306840: 单片机如何控制流水灯的程序 -
方蓝天麻: 灯的亮灭是通过控制IO口数据来实现的;程序大体如下:#define led0 P1^0#define led1 P1^1#define led2 P1^2#define L 0 //亮#define M 1 //灭 void init() { led0 = M; led1 = M; led2 = M; } main() { init(); while(1) { delay_S(1); led0 = L; delay_S(1); led...

萨尔图区19720306840: 51单片机,控制流水灯的两种状态程序 -
方蓝天麻: #include<reg51.h> #include<intrins.h> #define uchar unsigned char sbit key=P2^0; bit flag=0; uchar cnt; void t1isr() interrupt 3 { TH1=(65536-50000)/256; TL1=(65536-50000)%256; cnt++; if(cnt>=5){cnt=0;if(flag)P1=_crol_(P1,1);else P1=_cror_...

萨尔图区19720306840: 求一流水灯的单片机程序 -
方蓝天麻: #include#include #define uint unsigned int uint a,b; void delay(uint b); void main() { a=0xfe; while(1) { delay(50000); a=_crol_(a,1); P1=a; } } void delay(uint b) { while(b--); } 还有很多其它方法 要的话私聊

萨尔图区19720306840: 单片机流水灯的程序怎么打(C语言)?顺便解释解释. -
方蓝天麻: 你的事C语言 回答的是汇编 如下 //led显示程序,简单地显示led的程序 //展示了如何控制端口的方法 //现象 : led 8个灯从左到右,然后从右到左显示;#include <8051.h>void delay(unsigned char times);void main() {int k,m;P0 = 0; //关闭数码显...

萨尔图区19720306840: 单片机流水灯电路 -
方蓝天麻: 可以把64个LED做成8*8的点阵,这样比较好, 如果再用译码器的话,IO口可以减为3+3个 如果再用串入并出的话,IO口可以为2个

萨尔图区19720306840: C51单片机编程,按键控制流水灯 -
方蓝天麻: #include "reg51.h" unsigned char led[]={0xfe,0xfd,0xfb,0xf7}; //LED灯的花样数据 void delay(unsigned char time){ //延时函数unsigned int j=15000;for(;time>0;time--)for(;j>0;j--); } void main(){bit dir=0,run=0; //标志位定义及初始化char i;...

萨尔图区19720306840: 51单片机8位流水灯8种变化程序 -
方蓝天麻: 第一种:1个LED发光,循环右移. 第二种:2个LED发光,循环右移. 第三种:3个LED发光,循环右移. 第四种:4个LED发光,循环右移.再改成左移,就又有四种. 这就有八种了.一边移动,一边闪烁; 再改变一下速度;这能有多少种了?

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网