用八选一数据选择器74LS151设计一个多数表决电路。该电路有三个输入端A.B.C,分别代表三个人的表决情况。

作者&投稿:钱雪 (若有异议请与网页底部的电邮联系)
~

F = AB + BC + AC

F=A'BC+B'C+AC'+A。

=A'BC+(A+A')B'C+A(B+B')C'+A(B+B')(C+C')。

=A'BC+AB'C+A'B'C+ABC'+AB'C'+ABC。

用门电路设计组合电路,可能需要用到的门电路品种比较多,门之间的连线较多,PCB设计难度也大;若只用某一种门电路,则可能门的数量多,且不同的传输路径上门的级数相差较大,即传输时延较大,则出现竞争冒险的可能较大。

扩展资料;

如果把A1、A0视为两个输入逻辑变量,同时把D0、D1、D2和D3取为第三个输入逻辑变量A2的不同状态(即A2、/A2、1或0),便可产生所需要的任何一种三变量A2、A1、A0的组合逻辑函数。可见,利用具有n位地址输入的数据选择器可以产生任何一种输入变量数不大于n +1的组合逻辑函数.

参考资料来源:百度百科-数据选择器



用八选一数据选择器 74LS151 设计一个多数表决电路。

该电路有三个输入端 A、B、C,分别代表三个人的表决情况。

电路如下:




74151是什么器件??
74151是数据选择器,又称波段开关,一个两位以上输出的数据选择器相当一个多刀多掷波段开关,它用于从8个数据中选择一个数据输出。引脚功能如下:A、B、C为选择输入端,D0-D7为数据输入端,STROBE为选通输入端(低电平有效),W为反码数据输出端,Y为数据输出端。把3位二进制数从选择输入端输入,按...

8选1数据选择器有哪几种型号??
8选1数据选择器是多路数据选择器的一种,该种数据选择器可以根据需要从8路数据传送中选出一路电路进行信号切换。8选1数据选择器是多路选择器的一种,除了8选1数据选择器,还有4选1数据选择器、16选1数据选择器。8选1数据选择器的型号为74151、74LS151、74251和74LS152这几种。

1.一个8选1的数据选择器,应具有___个地址输入端___个数据输入端。_百度...
3个地址输入端,8个数据输入端。其中8选1数据选择器74LS151的管脚图如下 :该芯片是一个DIP16芯片,因此其电源位于16管脚,地位于8管脚。其7管脚S'为使能端,当S`为低电平时,芯片工作;S'为高电平时芯片被禁止工作,这和74LS138的S1',S2和S3的功能是一样的。74LS151的数据输入端D0~D7依次是...

74ls151引脚图及功能
74LS151是一个8选1数据选择器\/多路复用器,它有9个引脚。其功能是根据3个选择输入(A、B、C)的状态,从8个数据输入(D0到D7)中选择一个,并将其传输到输出端(Y)。此外,它还有一个使能输入(G),用于控制数据选择器是否工作。当G为低电平时,选择器正常工作;当G为高电平时,输出Y为高...

1.一个8选1的数据选择器,应具有___个地址输入端___个数据输入端。_百度...
3个地址输入端,8个数据输入端。其中8选1数据选择器74LS151的管脚图如下 :该芯片是一个DIP16芯片,因此其电源位于16管脚,地位于8管脚。其7管脚S'为使能端,当S`为低电平时,芯片工作;S'为高电平时芯片被禁止工作,这和74LS138的S1',S2和S3的功能是一样的。74LS151的数据输入端D0~D7依次是...

计算机电路基础的题目,急求!!!回答得好追加悬赏分数!!
回答:一个电灯,要求在四个不同的地方都可以独立控制它的亮灭。设计并完成一个电路以满足上述要求输入是四位二进制正整数,输出是能被5整除时为1,否则为0.设计并完成一个电路满足上述要求。只想说如果用C语言简单多了,数电学的不好,忘记的差不多了设计一个全加器电路,用3线-8线译码器74LS138来实现...

用8选1的数据选择器怎么做到ABC依次输出
ABC接三个信号输入。选择操纵端为C-A,按二进制译码,从8个输入数据D0-D7中,选择一个需要的数据送到输出端低电平有效,信号输出按下面接法,D0:D,D1:0,D2:D,D3:1,D4:D,D5:D,D6:1,D7:D反。

如何用集成门电路设计一个电路?
设计一个奇偶校验电路。四个输入变量,有16个组合状态,所以用两片8选1数据选择器74LS151来做,比较容易。真值有如下 画出卡诺图如下 从卡诺图可见,函数不能化简单了。要用门电路做,有7个与项,需要7个门电路,很麻烦的。所以,用8选1数据选择器74LS151来做,就比较容易啦。逻辑图如下:

怎样用双4选1数据选择器构成一个8选1的电路
对照153的引脚图,将使能端1S和使能端2用非门连接,做最高位A2;加上原来的A1和A0,构成三位输入端。同时输出端Y2和Y1通过一个或门输出,即可做成8选一数据选择器。一个数据选择器看作低位输出,也就是输出0~7(共八路),16选1则是由四位输入(例如ABCD)A是最高位,0~7时,A=0,所以应该...

74hc151的功能及原理。
ls151引脚图及功能:数据选择器74LS151D的引脚~G的作用是使能控制输入脚,如下图,可以理解为选片端。当两片级联使用组成16选1时,就由G脚实现选片,选前8个数据输入时,第一片的G=0有效,被选中。典型的集成数据选择器。74LS151有三个地址端A2A1A0。可选择D0~D7八个数据,具有两个互补输出...

英山县18399172454: 用八选一数据选择器74LS151设计一个多数表决电路.该电路有三个输入端A.B.C,分别代表三个人的表决情况.同意为1,不同意为0.当多数同意时输出为1态,... -
宰父雷葡萄:[答案] http://zhidao.baidu.com/question/222126255.html?fr=qrl&cid=74&index=1&fr2=query 这个人家写好的,参考下

英山县18399172454: 用8选1数据选择器74ls151设计四位奇偶校验电路怎么弄!要求当输入4位数据中“1”的个数为偶数时输出Y=1,否则Y=0.要写出设计过程,画出逻辑电路图... -
宰父雷葡萄:[答案] 最简单的办法就是看最低位是1 还是0,是1就是奇数,因为二进制数各个数位上,只有第一位代表1,其余各位都代表偶数,2、4、8……,所以用151设计4位二进制奇偶校验器,只需要把D0~D7都接在最低位上,其余三位接在151的三个数据选择...

英山县18399172454: 用8选1数据选择器74LS151实现逻辑函数:F=A'BC+B'C+AC'+A -
宰父雷葡萄:[答案] F=A'BC+B'C+AC'+A =A'BC+(A+A')B'C+A(B+B')C'+A(B+B')(C+C') =A'BC+AB'C+A'B'C+ABC'+AB'C'+ABC ABC为数据选择位.以上计算结果转换过来就是:m1,m3,m4,m5,m6,m7.因此所对应的D1,D3,D4,D5,D6,D7都应接1,而其余接0,便可满足Y端...

英山县18399172454: 数字电子技术的组合逻辑电路问题用8选1数据选择器74LS151实现下面逻辑函数F(A.B.C.D)=∑m(0,5,8,9,10,11,14,15) -
宰父雷葡萄:[答案] D0=/A/B/C/D D1=0 D2=0 D3=/AB/CD D4=0 D5=ABC D6=A/BC D7=A/B/C(字母前加/表示非的意思)

英山县18399172454: 用8选1数据选择器CT74LS151和门电路设计电路,要求输出逻辑函数为 -
宰父雷葡萄: 函数式中,有四个输入变量;用74LS151来实现“或”的功能,变量ABC对应作为74LS151的选通信号变量,变量D作为8路输入信号之一路;分析逻辑函数 Y等式中的每一项:1)AC非D(选通信号=AC非,没有B),因此会分别选通出对应两路输入信号,把这两路输入信号并联起来,作为D变量输入;2)A非B非CD(选通信号=A非B非C),将选通唯一的输入信号,也作为D变量输入;3)BC(选通信号=BC,没有A),也将分别选通出两路输入信号,这两路输入信号的值取1即可;用一个151就可实现功能了,无需外加门电路;

英山县18399172454: 用8选1数据选择器74LS151设计三输入多数表决电路 -
宰父雷葡萄: 如果三个人对一件事情的通过与否进行表决,则按照经验,如果有两个或两个以上的人通过,则该事情最终被通过.下面我们就用数字电子技术的相关知识制作这么一个表决器.假设通过用高电平“1”来表示,相反,则不通过用低电平“0”来...

英山县18399172454: 数字电路 用74Ls151设计一个四位奇校验逻辑电路 过程详细一点 需要逻辑电路图 和逻辑表达式 -
宰父雷葡萄: 真值表: ABCD Y 0000 0 0001 1 0010 1 0011 0 0100 1 0101 0 0110 0 0111 1 1000 1 1001 0 1010 0 1011 1 1100 0 1101 1 1110 1 1111 0 表达式: Y=A'B'C'D+A'B'CD'+A'BC'D'+AB'C'D'+ABCD'+ABC'D+AB'CD+A...

英山县18399172454: 由8选1数据选择器74ls151构成的电路如图所示,请写出该电路输出函数Y的逻辑表达式 -
宰父雷葡萄: 这题表达式挺麻烦的说~ 以CBAD从高位到低位排列最小项为m(1,2,3,6,8,11,13,14),逻辑表达式打不出来,你自己画画卡诺图就能写出来了.做法就是先写出3输入8行真值表把Y表示为D的函数,然后分D=0,1扩展成16行真值表即可.

英山县18399172454: 设计74ls151选择器电路,设计函数满足下列关系式…Y1=/A3B2/C1/D0+A3/B2/C1/D0…………Y2=/A3/B2C1/D0+A3/B2/C1/D0………………其中/表示非, -
宰父雷葡萄:[答案] 不知道你用的是哪本教材,我们去年学过用的是数字电子技术基础简明教程 高等教育出版社 上面有类似的习题,你对照着就可以做出来了.Y1=M4+M8 Y2=M2+M8 令A=A3 B=B2 C=C1,根据8选一数据选择器的Y=D0/A2/A1/A0+D1/A2/A1A0+...+D7A...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网