求助:大三课程设计《数字电子钟的设计》详细方案过程!!急!急!急!

作者&投稿:爰荆 (若有异议请与网页底部的电邮联系)
数字电子钟课程设计~

]本设计将采用几个基本的数字集成的74系列(74LS90,74LS153,555)芯片来完成所需要的数字逻辑显示功能(在七段数码管上按规律显示特定的数字)。我们将通过几个方案的对比,得出本方案的优越性。本设计具有逻辑清晰、设计巧妙的特点,能很好的符合《数字电子技术》课程设计的要求。[目录]一、任务书说明二、电路的设计与分析三、电路的调试分析四、设计元件清单五、小结六、参考文献[原文]题 目: 彩灯控制器 初始条件:74LS90、74LS153各两个,555、74LS48、七段数码管各一个,2K电阻两个,0.01uF、100uF电容各一个,电路板一块,线若干要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)一、课程设计工作量及其技术要求1、数码管显示,自动显示数字队列0、1、2、、、、、、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后又依次显示同上数列,不断循环。2、打开电源开关,自动清零,即通电之后最先显示自然数列0,再显示1,然后按上述规律变化。3、每个数字的显示时间基本相等二、说明书撰写要求1、说明书应该包括原理图的设计、方案的多种论述(用原理框图)、单元电路的设计、画出完整的电路图。2、要写下调试过程中出现的问题、解决的方法,即排除故障的方法、技巧等。3、列出本次设计的元件清单,附上元件的逻辑功能4、收获、体会、总结、建议;列出参考文献。时间安排:1、根据任务书,查阅资料,进行方案论述,设计原理图4天2、提交原理图,经论证合格之后领元器件1天3、调试、记录问题,解决的方法 3天4、参考有关文献,提出不同方案 3天5、验收、答辩1天6、补充完成报告(撰写课程设计说明书)、答辩1天指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日二、电路的设计与分析2.1 电路的总体设计2.1.1 电路的总原理框图 图2.1 电路设计原理框图2.2、方案的论证2.2.1 方案的说明 该设计的关键是对产生十进制的74LS90的QA、QD 强制置数的处理,设计要求产生奇数,实际上就是QA 强制置1;要求产生偶数,实际上就是把QA强制置0;要求产生0-7的音乐符号,实际就是把QD 强制置0;[参考资料]1、康华光、邹寿杉 《电子计数基础》(数字部分)第四版 高等教育出版社 2000年6月第四版2、祁存容、陈伟《电子计数基础实验》(数字部分) 武汉理工大学教材中心 2006年2月出版3、求是科技主编 《单片机典型模块设计与应用》 人民邮电出版社出版2004年5月出版4、周惠朝 《常用电子元件及典型应用》 电子工业出版社出版 2005年1月5、[日]藤井信生 《电子使用手册》 科学出版社OHM社

原 文 : 一、数字钟的组成与基本原理
一、课程名称:数字电子钟的设计。
二、内容:设计并制作一台数字电子钟,完成设计说明书。
三、设计内容及要求:
设计内容:要求由所学的数字电子知识以及查阅有关资料设计并制作出一台数字电子钟。而且要完成电路的装配和调试。设计基本框图如下:
.......
四、要求:1>.采用位数码管,显示范围0分00秒——23时59分59秒。
2>.提出至少两种设计实现方案,并优选方案进行设计。
3>.详细说明设计方案,并计算元件参数。包括选择的依据和原理,参数确定的根据。
4>当电路发生走时误差时,要求电路具有校时功能。3、要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。
五、数字钟的基本原理
数字电子钟的原理方框图如图1所示。干电路系统由秒信号发生器,"时、分、秒计数器、译码器及显示器、校时电路、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入"秒计数器","秒计数器"采用60进制计数器,每累计60秒发一个"分脉冲"信号,该信号将作为"分计数器"的时钟脉冲。"分计数器"也采用60进制计数器,每累计60分钟,发出一个"时脉冲"信号,该信号将被送到"时计数器"。"时计数器"采用24进制计时器,可实现对一天24小时的累计。译码显示电路将"时"、"分"、"秒"计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对"时"、"分"、"秒"显示数字进行校对调整的

设计目的
熟悉集成电路的引脚安排.
掌握各芯片的逻辑功能及使用方法.
了解面包板结构及其接线方法.
了解数字钟的组成及工作原理.
熟悉数字钟的设计与制作.
设计要求
1.设计指标
时间以24小时为一个周期;
显示时,分,秒;
有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;
计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时;
为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号.
2.设计要求
画出电路原理图(或仿真电路图);
元器件及参数选择;
电路仿真与调试;
PCB文件生成与打印输出.
3.制作要求 自行装配和调试,并能发现问题和解决问题.
4.编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会.
设计原理及其框图
1.数字钟的构成
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图 3-1所示为数字钟的一般构成框图.
图3-1 数字钟的组成框图
⑴晶体振荡器电路
晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路.
⑵分频器电路
分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器.
⑶时间计数器电路
时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器.
⑷译码驱动电路
译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流.
⑸数码管
数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管.
2.数字钟的工作原理
1)晶体振荡器电路
晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定.
图3-2所示电路通过CMOS非门构成的输出为方波的数字式晶体振荡电路,这个电路中,CMOS非门U1与晶体,电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波.输出反馈电 阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器.电容C1,C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能.由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确.
晶体XTAL的频率选为32768HZ.该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数.
从有关手册中,可查得C1,C2均为30pF.当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施.
由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ.较高的反馈电阻有利于提高振荡频率的稳定性.
非门电路可选74HC00.
图3-2 COMS晶体振荡器
2)分频器电路
通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频.
通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现.例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器.常用的2进制计数器有74HC393等.
本实验中采用CD4060来构成分频电路.CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便.
CD4060计数为14级2进制计数器,可以将32768HZ的信号分频为2HZ,其内部框图如图3-3所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能.
图3-3 CD4046内部框图
3)时间计数单元
时间计数单元有时计数,分计数和秒计数等几个部分.
时计数单元一般为12进制计数器计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码.
一般采用10进制计数器74HC390来实现时间计数单元的计数功能.为减少器件使用数量,可选74HC390,其内部逻辑框图如图 2.3所示.该器件为双2—5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效).
图3-4 74HC390(1/2)内部逻辑框图
秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可.CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连.
秒十位计数单元为6进制计数器,需要进制转换.将10进制计数器转换为6进制计数器的电路连接方法如图3-5所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连.

图3-5 10进制——6进制计数器转换电路
分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连.
时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换.利用1片74HC390实现12进制计数功能的电路如图3-6所示.
另外,图3-6所示电路中,尚余-2进制计数单元,正好可作为分频器2HZ输出信号转化为1HZ信号之用.
图3-6 12进制计数器电路
4)译码驱动及显示单元
计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路.
5)校时电源电路
当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可.
根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中.图3-7所示即为带有基本RS触发器的校时电路,
图3-7 带有消抖动电路的校正电路
6)整点报时电路
一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示.
根据要求,电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号.报时电路选74HC30,选蜂鸣器为电声器件.
元器件
1.实验中所需的器材
5V电源.
面包板1块.
示波器.
万用表.
镊子1把.
剪刀1把.
网络线2米/人.
共阴八段数码管6个.
CD4511集成块6块.
CD4060集成块1块.
74HC390集成块3块.
74HC51集成块1块.
74HC00集成块5块.
74HC30集成块1块.
10MΩ电阻5个.
500Ω电阻14个.
30p电容2个.
32.768k时钟晶体1个.
蜂鸣器.
2.芯片内部结构图及引脚图
图4-1 7400 四2输入与非门 图4-2 CD4511BCD七段译码/驱动器
图4-3 CD4060BD 图4-4 74HC390D
图4-5 74HC51D 图4-6 74HC30
3.面包板内部结构图
面包板右边一列上五组竖的相通,下五组竖的相通,面包板的左边上下分四组,每组中X,Y列(0-15相通,16-40相通,41-55相通,ABCDE相通,FGHIJ相通,E和F之间不相通.
个功能块电路图
一个CD4511和一个LED数码管连接成一个CD4511驱动电路,数码管可从0---9显示,以次来检查数码管的好坏,见附图5-1.
图5-1 4511驱动电路
利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00连接成一个十进制计数器,电路在晶振的作用下数码管从0—9显示,见附图5-2.
图5-2 74390十进制计数器
利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00和一个晶振连接成一个六进制计数器,数码管从0—6显示,见附图5-3.
图5-3 74390六进制计数器
利用一个六进制电路和一个十进制连接成一个六十进制电路,电路可从0—59显示,见附图5-4.
图5-4 六十进制电路
利用两个六十进制的电路合成一个双六十进制电路,两个六十进制之间有进位,见附图5-5.
图5-5 双六十进制电路
利用CD4060,电阻及晶振连接成一个分频——晶振电路,见附图5-6.
图5-6 分频—晶振电路
利用74HC51D和74HC00及电阻连接成一个校时电路,见附图5-7.
图5-7 校时电路
利用74HC30和蜂鸣器连接成整点报时电路.见附图5-8.
图5-8 整点报时电路
利用两个六十进制和一个十二进制连接成一个时,分,秒都会进位的电路总图,见附图5-9.
图5-9 时,分,秒的进位连接图
总接线元件布局简图,见附图6-1
芯片连接图见附图7-1
八,总结
设计过程中遇到的问题及其解决方法.
在检测面包板状况的过程中,出现本该相通的地方却未通的状况,后经检验发现是由于万用表笔尖未与面包板内部垂直接触所至.
在检测CD4511驱动电路的过程中发现数码管不能正常显示的状况,经检验发现主要是由于接触不良的问题,其中包括线的接触不良和芯片的接触不良,在实验过程中,数码管有几段二极管时隐时现,有时会消失.用5V电源对数码管进行检测,一端接地,另一端接触每一段二极管,发现二极管能正常显示的,再用万用表欧姆档检测每一根线是否接触良好,在检测过程中发现有几根线有时能接通,有时不能接通,把接触不好的线重新接过后发现能正常显示了.其次是由于芯片接触不良的问题,用万用表欧姆档检测有几个引脚本该相通的地方却未通,而检测的导线状况良好,其解决方法为把CD4511的芯片拔出,根据面包板孔的的状况重新调整其引脚,使其正对于孔,再用力均匀地将芯片插入面包板中,此后发现能正常显示,本次实验中还发现一块坏的LED数码管和两块坏的CD4511,经更换后均能正常显示.
在连接晶振的过程中,晶振无法起振.在排除线与芯片的接触不良问题后重新对照电路图,发现是由于12脚未接地所至.
在连接六进制的过程中,发现电路只能4,5的跳动,后经发现是由于接到与非门的引脚接错一根所至,经纠正后能正常显示.
在连接校正电路的过程中,出现时和分都能正常校正时,但秒却受到影响,特别时一较分钟的时候秒乱跳,而不校时的时候,秒从40跳到59,然后又跳回40,分和秒之间无进位,电路在时,分,秒进位过程中能正常显示,故可排除芯片和连线的接触不良的问题.经检查,校正电路的连线没有错误,后用万用表的直流电压档带电检测秒十位的QA,QB,QC和QD脚,发现QA脚时有电压时而无电压,再检测秒到分和分到时的进位端,发现是由于秒到分的进位未拔掉所至.
在制作报时电路的过程中,发现蜂鸣器在57分59秒的时候就开始报时,后经检测电路发现是由于把74HC30芯片当16引脚的芯片来接,以至接线都错位,重新接线后能正常报时.
连接分频电路时,把时个位的QD和时十位的1脚断开,然后时十位的1脚接到晶振的3脚,时十位的3脚接到秒个位的1脚,所连接的电路图无法正常工作,时十位从0-9的跳,时个位只能显示一个0,在这个电路中3脚的分频用到两次,故无法正常显示,因此要把12进制接到74HC390的一个逻辑电路空出来用于分频即可,因此把时十位的CD4511的12,6脚接地,7脚改为接74HC390的5脚,74HC390的3,4脚断开,然后4脚接9脚即可,其中空出的74HC390的3脚就可用于2Hz的分频,分频后变为1Hz,整个电路也到此为正常的数字钟计数.
2.设计体会
在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法.
在连接六进制,十进制,六十进制的进位及十二进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了.
在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的,例如仿真的连接示意图中,往往没有接高电平的16脚或14脚以及接低电平的7脚或8脚,因此在实际的电路连接中往往容易遗漏.又例如74HC390芯片,其本身就是一个十进制计数器,在仿真电路中必须连接反馈线才能正常显示,而在实际电路中无需再连接,因此仿真图和电路连接图还是有一定区别的.
在设计电路的连接图中出错的主要原因都是接线和芯片的接触不良以及接线的错误所引起的.
3.对该设计的建议
此次的数字钟设计重在于仿真和接线,虽然能把电路图接出来,并能正常显示,但对于电路本身的原理并不是十分熟悉.总的来说,通过这次的设计实验更进一步地增强了实验的动手能力.

向老师问问再回复


【求助】今年大三,用51做课程设计
可以啊 ,我大三课程设计就是做的光立方,不过这个成本略高,需要的精力比较多,因为灯太多,也可以做个小点的光立方,最终效果还是很惊艳的。

选择园林专业有哪些课程要学
本专业培养以生态、园林艺术、园林建筑、园林工程、园林绿化和园林植物为综合基础,掌握风景区、森林公园及各类城市园林的总体规划、地形地貌设计、园林建筑设计、园林工程设计、园林植物种植设计、园林植物繁育、栽培、养护管理及园林施工的高级园林综合人才。学制四年,授予农学学士学位。主要专业课程:绘画、设计...

北京科技大学计算机科学与技术专业大三的所有具体课程,如果有很正式...
【计算机软件及系统方向】C#程序设计语言(16学时)数字签名与身份认证技术(32学时)大三下学期有:专业必修课程 数据库系统原理(双语)(48学时)微机接口技术(48学时)编译原理(48学时)实践类 计算机网络课程设计(1学时)软件工程课程设计(2学时)专业选修课程 【通用选修】 JAVA程序设计(3...

江苏自考园林课程
大二:园林设计一、中国古典园林史(世界史自学)、花卉学一、计算机3DMAX(园林)、计算机PHOTOSHOP(园林)、盆景学、园林规划(园林)、园林建筑(园林)、园林树木学(园林)、制图学(画法几何、阴影透视)、工程力学(木)、美术(钢笔画、马克)、植物生物学。 大三:园林工程预算(园林)、园林图表现技法(园林)、园林工程(园林...

我大三下学期的课程设计挂了,我该怎么办? 只能大四下学期补吗,我能跟...
可以的 大四在可以补考的 只要你在毕业之前把补考科目通过还是和其他人一样一起拿到毕业证的,大学挂科很正常别担心。

长安大学土木工程系07级大三的专业课有哪些?
给分吧 上学期 1 毛泽东思想.邓论."三个代表"(下)2 水力学 3 专业英语 4 土木工程材料 5 结构检验 6 弹性力学 7 结构力学 8 钢筋砼基本理论 9 工程地质 10 荷载与结构设计方法 11 钢筋混凝土理论设计 12 工程地质实习 下学期 施工技术课程设计 2 施工组织课程设计 3 生产实习 4 土力学与...

大三学生学计算机的,课程设计做什么管理系统比较容易实现好做
什么样的工作是好工作?这要看个人的能力,收破烂是好工作么,但是有人做的很愉快而且很成功对吧!你现在要做的第一完成学业;第二,要有专攻,计算机很多方面的:如编程、动漫设计、平面设计、各类认证等等,要找到你感兴趣的而且擅长的继续深学(主要靠自学),将来工作的路就会很轻松。

...2014年的大一到大四的清华大学土木工程专业的课程表?
结构力学2、土力学、清华大学出版社《土力学》、混凝土结构、清华大学出版社《混凝土结构》、工程经济学、工程项目管理、弹性力学、高等教育出版社《弹性力学简明教程》、大三下:钢结构、基础工程学、混凝土结构设计、土木工程CAD技术基础,建筑施工组织、建筑施工技术、结构试验、大四:钢结构课程设计、地下...

我是电子科学与技术的学生,大三需要选修专业选修课,我不知道该选哪些...
看你自己的规划了,硬件电路设计,移动通讯,软件嵌入式编程(arm dsp 或pc),FPGA 逻辑。大三是应该有所区分的。我是电子信息专业,现在做 FPGA 硬件设计。以我现在工作建议你如果选择 C\/C++编程 ,除去你已经选择的,建议补充上《DSP技术及应用》或《嵌入式系统原理与设计》,对你理解 《微机原理...

工业设计专业在大三大四时都应学什么
大三大四应该是做产品了吧,比如课程设计,大三可能还会开些专业课,比如造型基础之类的,但都是手动性很强的课了,还有就是手绘,我觉得大一一直到大四手绘都不能放弃练习。软件类的应该都差不多学完了,我们大二学的。还有就是大三要开始确定自己的设计方向了,其实在大二的时候就该规划一下了,...

富顺县13019317079: 数字电子技术课程设计 - ------数字显示电子钟 -
孙娣安利: 《数字钟设计报告》指导老师:姓名: 学号:电子设计一、 引言 大屏幕数字钟套件采用6位数字(二十四小时制)显示,格式为“时时:分分:秒秒”,电路板尺寸为330MM*70MM,是以前大屏幕数字钟的改进版,解决了以前大屏幕数字...

富顺县13019317079: 数电数字钟课程设计报告 -
孙娣安利:[答案] 数字电子技术课程设计报告 题 目: 数字钟的设计与制作 学 年 学 期: 专 业 班 级: 学 号: 姓 名: 指导教师及职称:讲师 时 间: 地点: 设计目的 熟悉集成电路的引脚安排. 掌握各芯片的逻辑功能及使用方法. 了解面包板结构及其接线方法. 了解数...

富顺县13019317079: 电子钟设计 -
孙娣安利: 一、数字钟的组成与基本原理 一、课程名称:数字电子钟的设计. 二、内容:设计并制作一台数字电子钟,完成设计说明书. 三、设计内容及要求: 设计内容:要求由所学的数字电子知识以及查阅有关资料设计并制作出一台数字电子钟.而且...

富顺县13019317079: 我们要做一个课程设计 是关于数字电子时钟的 您能帮帮我么 -
孙娣安利: 数字电子钟的设计(由数字IC构成) 一、设计目的1. 熟悉集成电路的引脚安排.2. 掌握各芯片的逻辑功能及使用方法.3. 了解面包板结构及其接线方法.4. 了解数字钟的组成及工作原理.5. 熟悉数字钟的设计与制作. 二、设计要求1.设计指标 ...

富顺县13019317079: 数字电子时钟毕业设计 -
孙娣安利: 你去搜索at89s51单片机芯片下的时钟设计,有一个差不多完美的程序,配上电路图,但是那个电路图有点问题,P2口和P0口接反了,还有那个74HC573根本就是多余,去搜一下看看,相信你具备资料搜索能力.另外,同学,这个东西可以参考网上的程序,但还是要自己搞清楚,自己动手,不然你做了也是没有收获的,不是你没时间,而是态度问题,我是熬了几个通宵摸索出来的. 还有,我觉得这个时钟能算是毕业设计吗?要我来说,也就一个课程设计而已,所以立题要注意,因为这个时钟功能很容易实现的.实在没办法的话,你留个言,我发给你~~!!

富顺县13019317079: 关于数字电子钟的设计论文? -
孙娣安利: 由于集成电路制造技术日新月异,电子电路的设计日 趋复杂.为了能在电路付诸实现之前,完全掌握操作环境因素(如电源电压、温度等)对电路的影响,利用电脑辅助设计进行电模拟与分析,并进行输入与输出信号响应的验证,可有效地节省...

富顺县13019317079: 求数字电子钟的课设设计要求用51单片机
孙娣安利: 下面是我自己编的,你拿去试试,p2口接共阳数码管的com端,p1接数码管的数据输入端,6位数码管分别显示时分秒,有问题问我.... ORG 0000H SJMP MAIN ORG 000BH SJMP DVT0 ORG 0030H MAIN:MOV A,#00H MOV R0,#00H ...

富顺县13019317079: 求助!!数字时钟课程设计 -
孙娣安利: 数字时钟的设计一、设计目的⑴ 掌握数字钟的设计方法;⑵ 熟悉集成电路的使用方法.二、设计任务⑴ 设计一个有“时”, “分”,“秒” (23小时59分59秒)显示且有校时功能的电子钟.⑵ 用中小规模集成电路组成电子钟.⑶ 画出框图和逻辑电路图,写出设计报告.⑷ 选做:①闹钟系统.②整点报时.③日历系统.

富顺县13019317079: 求C51单片机数字电子时钟课程设计 -
孙娣安利: #include<reg52.h> #include<absacc.h> #include<intrins.h> #define unit unsigned int #define uchar unsigned char //#define HZ 12 sbit key0=P0^0; // 分钟调整 sbit key1=P0^1; // 小时调整 sbit P2_0=P2^7; //秒 指示灯 sbit MN_RXD=P3^6; sbit ...

富顺县13019317079: 数字电路数字钟设计 -
孙娣安利: 根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计.1. 秒脉冲发生器脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz的秒脉冲....

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网