怎样用quartus仿真输出模拟波形

作者&投稿:蛮姜 (若有异议请与网页底部的电邮联系)
quartus ii 如何仿真波形~

仿真分功能仿真和时序仿真,
一两种仿真都需要在编译源*.vhd,程序后,新建一个与源程序同名的,
二在*.vwf文件中,由Insert Node or bus 进入,导入全部I/O.
三在主菜单里的Tools-----Simulator Tool 进入,弹出对话框, 有Simulation Mode 和Simulation Input 两个在上面的对话框,
①选择仿真为 Functional ,则右边的Generate Functional Simulation Netlist 字体变黑,先点击,生成Netlist,再点 START进行仿真
②选择时序仿真Timing ,则右边按钮为灰色,无法点击,可直接进行时序仿真,而不用先生成Netlist.
每天能够进步一点,切记这个方法.

输出模拟波形方法如下:
1、打开QuartusⅡ,
2、选择File→New Project Wizard 新建一项工程。
3、单击Next进入。
(任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,要用英文的比如存在E/eda),之后会出现三个要填的,分别E/eda,COUNT,COUNT;单击Next进入下一个,first name不填,单击Next进入对话框。在该对话框中指定目标器件,(我们选择的是QuickEDA核心板上用的Cyclone系列的EP1C6240C8。),next一直到finish
4、选择File→New ——VHDL file ,将你的编程复制进去
5、File→save as(新建个文件夹 用英文的)文件名一定要更改为COUNT(保持和实体一致,默认的文件名为VHDL1)
6、在QuartusⅡ主界面下选择Processing→Start Compilation进行全程编译,会显示“successful”
7、在QuartusⅡ主界面下选择File→New命令,打开新建文件对话框,在该对话框中选择Vector Waveform File ;
8、在Nane栏内双击鼠标左键,弹出对话框。
9、点击Node Finder 按钮5、在Filter栏选择Pins:all,点击List按钮,弹出如图所示对话框。
10、点击>>按钮,按2次OK后。
11、设置仿真结束时间。
在QuartusⅡ主界面下选择Edit→End time…,打开图示对话框,将仿真结束时间设置为20us。
12、编辑输入节点波形
1)选中clk,在工具栏中点击Overwrite Clock按钮,打开图示对话框,将CLK周期设置为50ns。
2)将clr设置为“0”(在波形图左边竖着的有个0矩形波)。(可以点击放大/缩小按钮,缩小时按右键)
13、保存仿真波形文件,File→save,按默认的保存就行
14、功能仿真。
1)在QuartusⅡ主界面下选择Processing→Simulate Tool,
2)在Simulation mode 下选择Functional,点击Generate Functional Simulation Netlist按钮。点击Start按钮开始仿真。,仿真后点击Report按钮,打开仿真结果窗口(波形就出来了),在该"窗口中可以观察设计结果,功能zwj;仿真没有考虑器件的延迟时间。

1、首先新建工程。File->new project Wizard.点击Next。

2、输入工程名字,点击Finsh就把一个空工程建立好了。

3、接着新建VHDL文件。

4、编写代码。注意工程名要和实体名一致。

5、如图所示表示编译、综合成功。

6、建立波形文件。

7、添加节点。先点击空白处弹出如图所示对话框。

8、再把编程涉及的节点加入,设置之后点击保存时,波形文件名会默认实体名,点击保存即可。

9、选择仿真工具。processing->Simulator tool。

10、进行仿真,通过如图所示的步骤就能得到自己设计的波形了。


注意事项:

Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添 了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。



输出模拟波形方法如下:
1、打开QuartusⅡ软件,
2、选择File→New Project Wizard 新建一项工程。
3、单击Next进入。
(任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,要用英文的比如存在E/eda),之后会出现三个要填的,分别E/eda,COUNT,COUNT;单击Next进入下一个,first name不填,单击Next进入对话框。在该对话框中指定目标器件,(我们选择的是QuickEDA核心板上用的Cyclone系列的EP1C6240C8。),next一直到finish
4、选择File→New ——VHDL file ,将你的编程复制进去
5、File→save as(新建个文件夹 用英文的)文件名一定要更改为COUNT(保持和实体一致,默认的文件名为VHDL1)
6、在QuartusⅡ主界面下选择Processing→Start Compilation进行全程编译,会显示“successful”
7、在QuartusⅡ主界面下选择File→New命令,打开新建文件对话框,在该对话框中选择Vector Waveform File ;
8、在Nane栏内双击鼠标左键,弹出对话框。
9、点击Node Finder 按钮5、在Filter栏选择Pins:all,点击List按钮,弹出如图所示对话框。
10、点击>>按钮,按2次OK后。
11、设置仿真结束时间。
在QuartusⅡ主界面下选择Edit→End time…,打开图示对话框,将仿真结束时间设置为20us。
12、编辑输入节点波形
1)选中clk,在工具栏中点击Overwrite Clock按钮,打开图示对话框,将CLK周期设置为50ns。
2)将clr设置为“0”(在波形图左边竖着的有个0矩形波)。(可以点击放大/缩小按钮,缩小时按右键)
13、保存仿真波形文件,File→save,按默认的保存就行
14、功能仿真。
1)在QuartusⅡ主界面下选择Processing→Simulate Tool,
2)在Simulation mode 下选择Functional,点击Generate Functional Simulation Netlist按钮。点击Start按钮开始仿真。,仿真后点击Report按钮,打开仿真结果窗口(波形就出来了),在该"窗口中可以观察设计结果,功能‍仿真没有考虑器件的延迟时间。

new file选 那个 vector wave啥的....然后右键insert》node》node find》list....引入node后,把输入激励信号.时钟调好....再点上面工具栏一个像波形的按钮......在programmer的旁边好像.......

有时需要指定某一个波形文件来仿真...需要在assighment里的setting(反正是个setting里面),找到simulation...然后有个可以浏览文件的,点它指定你要的波形文件就OK....(只有一个波形文件就没必要了应该)

file》save current report section as...,保存文件后选中想要的波形,右键display format...》analog waveform,就可以变成模拟波形了。


quartus ii如何将VHDL源程序变成元件的样子
1、首先在运行中输入notepad,启动记事本程序。2、在记事本程序中输入需要在Quartus II中录入的汉字,然后选择复制。3、然后找到并打开Quartus II软件,找到并双击打开目标VHDL文件。4、将鼠标光标插入到需要录入汉字的位置。使用Ctrl+V,将刚刚复制的汉字粘贴到目标位置。5、最后注意汉字占用两个字节,删除...

新手求助:用Quartus II 11.0编译的时候出现这样的关键警告,调用Models...
选择仿真工具 选择好工具之后,然后就可以用quartus来自动生成TB模板了。如果这里不设置,就不能生成quartus测试平台的模板,所以这里要设置下,而且可以设置下timescale和一些其他的设置 3.简单再说下仿真吧,写好tb之后,就好要到上面的设置中设置下仿真对象,这样才能正常的用quartus来调用modelsim仿真,所以...

求指导quartus9.0仿真,同样的代码我自己仿真出来的总是不对
quartus上边的按钮里,有一个棕色的右三角, 名字是start classic timing analyzer 先运行一下这个,然后再仿真

从别人电脑里拷贝的quartusll2 但是不能使用 怎么样才能用?
因为软件安装的时候会在系统注册表中添加软件一些注册信息,建议网上下载,找破解版的 它里面一般都会包含破解说明的

用quartus,5-24线译码器仿真图是什么样子的?
输入的五个信号可以选择为5个周期信号,周期分别是二倍关系,仿真出来就是24个输出信号随着最高频率的输入信号依次置高

用quartus和用modelsim仿真出来的东西一样吗
不一样,modelsim是用来做功能仿真中间不加时序延迟,quartus 是根据所选的fpga器件进行功能和时序的仿真 quartus 仿真有缺点1是波形必须手动画,二是仿真速度特别慢。所以可以modelsim 和quartus 联合仿真,实现quartus的仿真功能并提高速度,具体步骤见http:\/\/www.tai-yan.com\/news\/html\/34\/15\/15606\/1....

vhdl怎样绘制总线 就是在用quartus ii的原理图输入法中怎样绘制总线呢...
跟普通的连线是一样的!只不过命名不一样。比如:你这总线输出有5,假设你命名为a,那么这个总线你只要命名为a[5..0],这样就可以了。如果是器件跟器件之间的总线相连,只要是两个能连接得上,你跟普通连线一样就可以了 本回答由提问者推荐 举报| 答案纠错 | 评论(2) 8 2 zhuangweiyin2 采纳率:56% 擅长:...

为什喵quartus 7.0仿真不了阿,我电脑是win10唉。打开仿真界面就这样,有...
可能是方法出错,参考下面方法看看。输出模拟波形方法如下:1、打开QuartusⅡ软件,2、选择File→New Project Wizard 新建一项工程。3、单击Next进入。(任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,要用英文的比如存在E\/eda),之后会出现三个要填的,...

用quartus 2 对verilog的仿真方法和vhdl一样吗
一样的

请教下,quartus中这个自己手动添加复位和时钟?怎么设置?我没有设置出...
通常是x:\\altera\\13.0\\modelsim_ase\\win32aloem(x是安装盘符,以13.0版本为例),没有设置这个路径,quartus就找不到modesim可执行文件进行脚本命令执行仿真,另一种是quartus启动modelsim仿真前,已经打开了一个Modelsim窗口,不可以通过quartus同时开启两个modelsim窗口,希望能够帮得上,采纳一下吧 ...

东营区18061021152: 怎样用quartus仿真输出模拟波形
貂祁重感: new file选 那个 vector wave啥的....然后右键insert》node》node find》list....引入node后,把输入激励信号.时钟调好....再点上面工具栏一个像波形的按钮......在programmer的旁边好像....... 有时需要指定某一个波形文件来仿真...需要在assighment里的setting(反正是个setting里面),找到simulation...然后有个可以浏览文件的,点它指定你要的波形文件就OK....(只有一个波形文件就没必要了应该)

东营区18061021152: quartus II仿真波形 -
貂祁重感: 1、打开QuartusⅡ软件, 2、选择7a686964616fe78988e69d8331333337613763File→New Project Wizard 新建一项工程. 3、单击Next进入. (任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的...

东营区18061021152: 使用quartus时在输出波形编译的时候出现这个提示是什么意思? -
貂祁重感: 翻译成中文就是仿真文件没有被指定,要仿真的话先要建一个仿真文件: file -> new -> 选择Other file选项卡 -> Vector Waveform File 然后把输入输出端口加进去,再设置输入的信号,保存,就可以仿真了.如果你之前已经建立过了,就打开...

东营区18061021152: 只在Quartus II环境下仿真波形的具体步骤是什么啊? 谢谢! -
貂祁重感: 1.新建一个vector waveform file ,选择结点,面画出你想要仿真的波形2.运行simulator tool ,选择功能仿真还是时序仿真,start,report,会出来仿真结果的

东营区18061021152: 我在quartusii11.0中新建vhdl程序,怎么进行仿真,就是能看到仿真波形呢? -
貂祁重感: 再新建一个激励文件.可以是波形激励文件.然后保存,仿真即可.

东营区18061021152: quartus ii功能仿真 怎么操作具体的操作 -
貂祁重感: 在菜单栏下面的processing 中有一个simulaor tool 点开. 出现仿真的选项:simulation mode选成function 即功能仿真.simulation input找到你自己的波形文件. 然后先点下generated functional simulation netist.就是产生功能反正对应的网表文件. 最后点下左下方的start 仿真,完成之后,单击report即可查看功能仿真之后的波形了.

东营区18061021152: quartus ii 11.0怎样进行波形仿真,求详细步骤 -
貂祁重感: QuartusII 11.0已经不再集成波形仿真工具,只能用Modelsim进行仿真了,木有办法.楼主要学modelsim,或者用低版本的Quratus II.

东营区18061021152: quartus II 11.0 下如何进行 vector waveform 波形仿真,需要具体步骤,谢谢. -
貂祁重感: 第一步,创建波形仿真文件 第二部,创建好文件后就要保存好,一定要保存哦.然后对时间等进行一些设置 第三步,对输入信号进行赋值 第四步,创建仿真网表 然后就可以点击波形仿真按钮仿真了

东营区18061021152: quartus ii 如何仿真波形 -
貂祁重感: 仿真分功能仿真和时序仿真,一两种仿真都需要在编译源*.vhd,程序后,新建一个与源程序同名的,二在*.vwf文件中,由Insert Node or bus 进入,导入全部I/O.三在主菜单里的Tools-----Simulator Tool 进入,弹出对话框, 有Simulation Mode ...

东营区18061021152: quartus ii 12.0 怎样进行波形仿真 -
貂祁重感: public class Utils { private static long lastClickTime; public static boolean isFastDoubleClick() { long time = System.currentTimeMillis(); long timeD = time - lastClickTime; if ( 0 < timeD && timeD < 500) {return true;}

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网