51单片机控制SPI接口芯片都是模拟SPI吧?

作者&投稿:茌苇 (若有异议请与网页底部的电邮联系)
单片机模拟SPI协议和单片机自带SPI接口的区别?使用起来会有差别吗,会不会影响最终效果?~

用起来最大的区别就是,你用软件模拟的方式要自己操作SPI的几个关键的引脚,像时钟线,数据线,CE之类的,你要对着时序图来一点点的操作这些引脚,
自带的SPI接口我们叫它硬件SPI,这种就非常方便了,你不用关于引脚做了些什么,因为它底层已经做好了,你只要对着文档操作寄存器就可以了,有专门的数据寄存器,还有状态寄存器,硬件SPI比软件的方便很多

下面就是一个 SPI 输出的函数,时序,在程序里面,表述的非常清楚。

sbit sck = P2^7;//移位时钟
sbit da1 = P2^6;//串行数据

void send(char dat)
{
char i;
for(i = 0; i < 8; i++) {
if((dat >> i) & 0x01) da1 = 1; else da1 = 0;
sck = 0; sck = 1;
}
}

恩,是的,51单片机没有带SPI控制器。给你模拟SPI控制nRF24L01程序参考,我的联系方式看我名字

#include <reg52.h>
#include <intrins.h>

typedef unsigned char uchar;
typedef unsigned char uint;

//****************************************IO端口定义***************************************
sbit CSN =P2^0; //SPI 片选使能,低电平使能
sbit MOSI =P2^1; //SPI串行输入
sbit IRQ =P2^2; //中断.低电平使能
sbit MISO =P2^3; //SPI串行输出
sbit SCK =P2^4; //SPI时钟
sbit CE =P2^5; //芯片使能,高电平使能

//***********************************数码管0-9编码*******************************************
uchar seg[10]={0xC0,0xCF,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90}; //0~~9段码
uchar TxBuf[32]=
{ /*
0x01,0x02,0x03,0x4,0x05,0x06,0x07,0x08,
0x09,0x10,0x11,0x12,0x13,0x14,0x15,0x16,
0x17,0x18,0x19,0x20,0x21,0x22,0x23,0x24,
0x25,0x26,0x27,0x28,0x29,0x30,0x31,0x32,
*/
0x00
}; //
//************************************按键**********************************************
sbit KEY1=P3^6;
sbit KEY2=P3^7;
//***********************************数码管位选**************************************************
sbit led1=P2^1;
sbit led0=P2^0;
sbit led2=P2^2;
sbit led3=P2^3;
//*********************************************NRF24L01*************************************
#define TX_ADR_WIDTH 5 // 5 uints TX address width
#define RX_ADR_WIDTH 5 // 5 uints RX address width
#define TX_PLOAD_WIDTH 32 // 20 uints TX payload
#define RX_PLOAD_WIDTH 32 // 20 uints TX payload
uint const TX_ADDRESS[TX_ADR_WIDTH]= {0x34,0x43,0x10,0x10,0x01}; //本地地址
uint const RX_ADDRESS[RX_ADR_WIDTH]= {0x34,0x43,0x10,0x10,0x01}; //接收地址
//***************************************NRF24L01寄存器指令*******************************************************
#define READ_REG 0x00 // 读寄存器指令
#define WRITE_REG 0x20 // 写寄存器指令
#define RD_RX_PLOAD 0x61 // 读取接收数据指令
#define WR_TX_PLOAD 0xA0 // 写待发数据指令
#define FLUSH_TX 0xE1 // 冲洗发送 FIFO指令
#define FLUSH_RX 0xE2 // 冲洗接收 FIFO指令
#define REUSE_TX_PL 0xE3 // 定义重复装载数据指令
#define NOP 0xFF // 保留
//*************************************SPI(nRF24L01)寄存器地址****************************************************
#define CONFIG 0x00 // 配置收发状态,CRC校验模式以及收发状态响应方式
#define EN_AA 0x01 // 自动应答功能设置
#define EN_RXADDR 0x02 // 可用信道设置
#define SETUP_AW 0x03 // 收发地址宽度设置
#define SETUP_RETR 0x04 // 自动重发功能设置
#define RF_CH 0x05 // 工作频率设置
#define RF_SETUP 0x06 // 发射速率、功耗功能设置
#define STATUS 0x07 // 状态寄存器
#define OBSERVE_TX 0x08 // 发送监测功能
#define CD 0x09 // 地址检测
#define RX_ADDR_P0 0x0A // 频道0接收数据地址
#define RX_ADDR_P1 0x0B // 频道1接收数据地址
#define RX_ADDR_P2 0x0C // 频道2接收数据地址
#define RX_ADDR_P3 0x0D // 频道3接收数据地址
#define RX_ADDR_P4 0x0E // 频道4接收数据地址
#define RX_ADDR_P5 0x0F // 频道5接收数据地址
#define TX_ADDR 0x10 // 发送地址寄存器
#define RX_PW_P0 0x11 // 接收频道0接收数据长度
#define RX_PW_P1 0x12 // 接收频道0接收数据长度
#define RX_PW_P2 0x13 // 接收频道0接收数据长度
#define RX_PW_P3 0x14 // 接收频道0接收数据长度
#define RX_PW_P4 0x15 // 接收频道0接收数据长度
#define RX_PW_P5 0x16 // 接收频道0接收数据长度
#define FIFO_STATUS 0x17 // FIFO栈入栈出状态寄存器设置
//**************************************************************************************
void Delay(unsigned int s);
void inerDelay_us(unsigned char n);
void init_NRF24L01(void);
uint SPI_RW(uint uchar);
uchar SPI_Read(uchar reg);
void SetRX_Mode(void);
uint SPI_RW_Reg(uchar reg, uchar value);
uint SPI_Read_Buf(uchar reg, uchar *pBuf, uchar uchars);
uint SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars);
unsigned char nRF24L01_RxPacket(unsigned char* rx_buf);
void nRF24L01_TxPacket(unsigned char * tx_buf);
//*****************************************长延时*****************************************
void Delay(unsigned int s)
{
unsigned int i;
for(i=0; i<s; i++);
for(i=0; i<s; i++);
}
//******************************************************************************************
uint bdata sta; //状态标志
sbit RX_DR =sta^6;
sbit TX_DS =sta^5;
sbit MAX_RT =sta^4;
/******************************************************************************************
/*延时函数
/******************************************************************************************/
void inerDelay_us(unsigned char n)
{
for(;n>0;n--)
_nop_();
}
//****************************************************************************************
/*NRF24L01初始化
//***************************************************************************************/
void init_NRF24L01(void)
{
inerDelay_us(100);
CE=0; // chip enable
CSN=1; // Spi disable
SCK=0; // Spi clock line init high
SPI_Write_Buf(WRITE_REG + TX_ADDR, TX_ADDRESS, TX_ADR_WIDTH); // 写本地地址
SPI_Write_Buf(WRITE_REG + RX_ADDR_P0, RX_ADDRESS, RX_ADR_WIDTH); // 写接收端地址
SPI_RW_Reg(WRITE_REG + EN_AA, 0x01); // 频道0自动 ACK应答允许
SPI_RW_Reg(WRITE_REG + EN_RXADDR, 0x01); // 允许接收地址只有频道0,如果需要多频道可以参考Page21
SPI_RW_Reg(WRITE_REG + RF_CH, 0); // 设置信道工作为2.4GHZ,收发必须一致
SPI_RW_Reg(WRITE_REG + RX_PW_P0, RX_PLOAD_WIDTH); //设置接收数据长度,本次设置为32字节
SPI_RW_Reg(WRITE_REG + RF_SETUP, 0x07); //设置发射速率为1MHZ,发射功率为最大值0dB
SPI_RW_Reg(WRITE_REG + CONFIG, 0x0e); // IRQ收发完成中断响应,16位CRC,主发送
}
/****************************************************************************************************
/*函数:uint SPI_RW(uint uchar)
/*功能:NRF24L01的SPI写时序
/****************************************************************************************************/
uint SPI_RW(uint uchar)
{
uint bit_ctr;
for(bit_ctr=0;bit_ctr<8;bit_ctr++) // output 8-bit
{
MOSI = (uchar & 0x80); // output 'uchar', MSB to MOSI
uchar = (uchar << 1); // shift next bit into MSB..
SCK = 1; // Set SCK high..
uchar |= MISO; // capture current MISO bit
SCK = 0; // ..then set SCK low again
}
return(uchar); // return read uchar
}
/****************************************************************************************************
/*函数:uchar SPI_Read(uchar reg)
/*功能:NRF24L01的SPI时序
/****************************************************************************************************/
uchar SPI_Read(uchar reg)
{
uchar reg_val;

CSN = 0; // CSN low, initialize SPI communication...
SPI_RW(reg); // Select register to read from..
reg_val = SPI_RW(0); // ..then read registervalue
CSN = 1; // CSN high, terminate SPI communication

return(reg_val); // return register value
}
/****************************************************************************************************/
/*功能:NRF24L01读写寄存器函数
/****************************************************************************************************/
uint SPI_RW_Reg(uchar reg, uchar value)
{
uint status;

CSN = 0; // CSN low, init SPI transaction
status = SPI_RW(reg); // select register
SPI_RW(value); // ..and write value to it..
CSN = 1; // CSN high again

return(status); // return nRF24L01 status uchar
}
/****************************************************************************************************/
/*函数:uint SPI_Read_Buf(uchar reg, uchar *pBuf, uchar uchars)
/*功能: 用于读数据,reg:为寄存器地址,pBuf:为待读出数据地址,uchars:读出数据的个数
/****************************************************************************************************/
uint SPI_Read_Buf(uchar reg, uchar *pBuf, uchar uchars)
{
uint status,uchar_ctr;

CSN = 0; // Set CSN low, init SPI tranaction
status = SPI_RW(reg); // Select register to write to and read status uchar

for(uchar_ctr=0;uchar_ctr<uchars;uchar_ctr++)
pBuf[uchar_ctr] = SPI_RW(0); //

CSN = 1;

return(status); // return nRF24L01 status uchar
}
/*********************************************************************************************************
/*函数:uint SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars)
/*功能: 用于写数据:为寄存器地址,pBuf:为待写入数据地址,uchars:写入数据的个数
/*********************************************************************************************************/
uint SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars)
{
uint status,uchar_ctr;

CSN = 0; //SPI使能
status = SPI_RW(reg);
for(uchar_ctr=0; uchar_ctr<uchars; uchar_ctr++) //
SPI_RW(*pBuf++);
CSN = 1; //关闭SPI
return(status); //
}
/****************************************************************************************************/
/*函数:void SetRX_Mode(void)
/*功能:数据接收配置
/****************************************************************************************************/
void SetRX_Mode(void)
{
CE=0;
SPI_RW_Reg(WRITE_REG + CONFIG, 0x0f); // IRQ收发完成中断响应,16位CRC ,主接收
CE = 1;
inerDelay_us(130);
}
/******************************************************************************************************/
/*函数:unsigned char nRF24L01_RxPacket(unsigned char* rx_buf)
/*功能:数据读取后放如rx_buf接收缓冲区中
/******************************************************************************************************/
unsigned char nRF24L01_RxPacket(unsigned char* rx_buf)
{
unsigned char revale=0;
sta=SPI_Read(STATUS); // 读取状态寄存其来判断数据接收状况
if(RX_DR) // 判断是否接收到数据
{
CE = 0; //SPI使能
SPI_Read_Buf(RD_RX_PLOAD,rx_buf,TX_PLOAD_WIDTH);// read receive payload from RX_FIFO buffer
revale =1; //读取数据完成标志
}
SPI_RW_Reg(WRITE_REG+STATUS,sta); //接收到数据后RX_DR,TX_DS,MAX_PT都置高为1,通过写1来清楚中断标志
return revale;
}
/***********************************************************************************************************
/*函数:void nRF24L01_TxPacket(unsigned char * tx_buf)
/*功能:发送 tx_buf中数据
/**********************************************************************************************************/
void nRF24L01_TxPacket(unsigned char * tx_buf)
{
CE=0; //StandBy I模式
SPI_Write_Buf(WRITE_REG + RX_ADDR_P0, TX_ADDRESS, TX_ADR_WIDTH); // 装载接收端地址
SPI_Write_Buf(WR_TX_PLOAD, tx_buf, TX_PLOAD_WIDTH); // 装载数据
// SPI_RW_Reg(WRITE_REG + CONFIG, 0x0e); // IRQ收发完成中断响应,16位CRC,主发送
CE=1; //置高CE,激发数据发送
inerDelay_us(10);
}

/***********************************************************************************************************
/*函数:init_uart(void)
/*功能:初始化串口;波特率4800bps
/**********************************************************************************************************/
void init_uart(void)
{
SCON = 0x50;
TMOD = 0x20;
TH1 = 0xFA;
TL1 = 0xFA;
PCON = 0x00;
TR1 = 1;
}

//************************************通过串口将接收到数据发送给PC端**************************************
void R_S_Byte(uchar R_Byte)
{
SBUF = R_Byte;
while( TI == 0 ); //查询法
TI = 0;
}

//************************************工作指示灯**************************************
void power_on(void)
{
P0 = 0xfd;
Delay(6000);

P0 = 0xff;
Delay(6000);
}

//************************************主函数************************************************************
void main(void)
{
uchar i;
uchar temp =0;

init_uart();
init_NRF24L01();

nRF24L01_TxPacket(TxBuf); // Transmit Tx buffer data

Delay(6000);

//CE = 1;
while(1)
{
power_on();
nRF24L01_TxPacket(TxBuf);
SPI_RW_Reg(WRITE_REG+STATUS,0XFF);
Delay(100);
//Delay(6000);
TxBuf[31] = TxBuf[31] + 1;
}
}

岱硬件SPI的不用写时序,但需要设置好SPI寄存器的一些信息,跟你设置串口类是

硬件的SPI少了很多底层通信的操作,你只要对映射的寄存器进行配置及读写就可以了,但其实用软件模拟也不难。

一般51没有spi接口,要模拟spi来收发数据。
举个例子:单片机用串口收发数据可以通过读写SBUF来实现,具有硬件SPI的单片机也可以直接通过SPI寄存器来实现。

一种串行输出方式...
通常4跟线连接..
cs.
片选.
开始输出一组数据的时候拉低,
输出完成后拉高.
clk.
时钟.配合data
in
/data
out进行数据传输
data
in.
数据输入
data
out.
数据输出
在clk上升沿的时候输出数据,
下降沿的时候检测数据输入..
简单说就是一种通讯格式,
如果你想了解更多,最好看看spi的标准文档,看完不明白的话,再有针对性的...
一种串行输出方式...
通常4跟线连接..
cs.
片选.
开始输出一组数据的时候拉低,
输出完成后拉高.
clk.
时钟.配合data
in
/data
out进行数据传输
data
in.
数据输入
data
out.
数据输出
在clk上升沿的时候输出数据,
下降沿的时候检测数据输入..
简单说就是一种通讯格式,
如果你想了解更多,最好看看spi的标准文档,看完不明白的话,再有针对性的提问,
这样比较好..
全部


单片机控制spi串口sclk怎么控制?
一般 都 用普通IO口模拟, 输出高电平 延时 低电平 延时即可 也可用2个空操作代替延时函数

什么是SPI控制,学到单片机IO口扩展芯片595要用SP控制,这是什么东西...
SPI是单片机的一种同步串行的通信接口,现在功能稍微多一点的单片机都会集成SPI接口,把内部的寄存器设置好了直接就可以用,没有集成SPI接口的用普通I\/O口也能模拟SPI接口的时序,关于SPI原理以及怎么应用可以看看单片机的数据手册或者干脆百度一下,这里不再多说 74HC595的SPI控制就是说利用单片机的SPI接口...

用单片机IO口来模拟SPI通信收发数据,波特率该怎么算出来?谢谢!_百度知...
模拟的SPI接口,输出一位数,用多少时间,这是你自己确定的。用这个时间,计算出来每秒传输的位数,就是波特率。

怎么实现单片机和PC机进行SPI通讯?
一般来说要求主设备要有SPI控制器(也可用模拟方式),就可以与基于SPI的芯片通讯了。利用SPI总线可在软件的控制下构成各种系统。如1个主MCU和几个从MCU、几个从MCU相互连接构成多主机系统(分布式系统)、1个主MCU和1个或几个从I/O设备所构成的各种系统等。在大多数应用场合,可使用1个MCU作为主控...

用51单片机引脚实现spi功能的程序
可以的,但SPI接口的器件有多种工作方式,如高位在前还是低位在前,空闲时时钟线高电平还是低电平 第一个跳变沿还是第二个跳变沿数据有效,程序是不同的,下面程序供参考 sbit CLK=P2^2;sbit MOSI=P2^3; \/\/发送方方管脚配置 sbit MISO=P2^4;sbit BIT0=ACC^0;sbit BIT7=ACC^7;\/\/ void...

用C51单片机模拟的SPI波特率怎么设定?
SPI不用设定波特率,用时钟管脚,每个时钟一位数据

单片机三种烧录方式ISP,IAP和ICP的区别详解
ISP一般是通过单片机专用的串行编程接口对单片机内部的Flash存储器进行编程,而IAP技术是从结构上将Flash存储器映射为两个存储体,当运行一个存储体上的用户程序时,可对另一个存储体重新编程,之后将控制从一个存储体转向另一个。ISP的实现一般需要很少的外部电路辅助实现,而IAP的实现更加灵活,通常可利用...

单片机通信协议有哪些
1、UART(通用异步收发器协议):是一种常用的串行通信协议,通过RS232、RS485等接口实现通信。2、SPI(串行外设接口协议):一种高速、全双工、同步的串行通信协议,常用于连接多个外设。3、I2C(双线制串行接口协议):一种双向串行通信协议,用于连接多个设备。

写一个51单片机模拟SPI总线的代码
sbit SPI_DO =P0^0;\/\/MMC数据输出,可不接 sbit SPI_SCL=P0^2;\/\/时钟线 void Write_Byte(unsigned char value){ unsigned char i;for (i=0;i<8;i++){ if (((value>>(7-i))&0x01)==0x01)SPI_DI=1;else SPI_DI=0;SPI_SCL=0;delay(5);\/\/必须要加延时,否则会因为操作太...

单片机的sci模块和spi模块分别指的什么啊
串行通信接口SCI(serial communication interface)由Motorola公司推出。它是 sci串口连接 一种通用异步通信接口UART,与MCS-51的异步通信功能基本相同。简单说一个同步一个异步。SCI是串口就是rs232,主要用来和其他的MCU或则电脑进行通信 spi是串行通信总线,主要和串行的外设进行数据交流,比如说串行的DA...

潢川县17656886084: 51单片机控制SPI接口芯片都是模拟SPI吧?
秘树联邦: 岱硬件SPI的不用写时序,但需要设置好SPI寄存器的一些信息,跟你设置串口类是

潢川县17656886084: 51单片机怎样实现SPI通讯 -
秘树联邦: 用传统的51单片机实现SPI通讯,需要用I/O脚来模拟SPI协议,这比较麻烦. 选用STC8系列单片机,就具有了SPI接口了 ,只需要对寄存器操作就行了.方便了很多了.如下图,这是STC8系列中的4个子系列,还有其它的子系列,就不再列举了.

潢川县17656886084: 什么是SPI控制,学到单片机IO口扩展芯片595要用SP控制,这是什么东西? -
秘树联邦: 就是相当于扩展了IO接口,每片595有8个端口,595可以级联,然后SPI对595写数据,对应的595端口电平就会对应写入的数据,SPI是串行接口,因此控制器只要用较少的IO口就可以实现很多IO输出的功能

潢川县17656886084: 请教高手,用51单片机软件模拟SPI从机,需要注意哪些问题? -
秘树联邦: 0、楼上你真逗……不要误导人家……最讨厌用这种滥造的答案糊弄人,百度能不能想想办法? 1、我不是大婶,只是学过单片机和arm 2、SPI有主从机之分,其实我觉得主从机从通信角度来讲地位相同,区别是主机为从机提供时钟信号,并且...

潢川县17656886084: 51单片机IO口模拟SPI -
秘树联邦: 下面就是一个 SPI 输出的函数,时序,在程序里面,表述的非常清楚.sbit sck = P2^7;//移位时钟 sbit da1 = P2^6;//串行数据void send(char dat) {char i;for(i = 0; i < 8; i++) {if((dat >> i) & 0x01) da1 = 1; else da1 = 0;sck = 0; sck = 1;} }

潢川县17656886084: 51单片机isd1730SPI模式原理图怎么才算是录音,没有按键,怎么控制录放???? -
秘树联邦: 用SPI通信协议方式给芯片发指令即可控制芯片完成录放操作.51单片机不带SPI接口,要用普通IO口配合程序模拟SPI,然后通信发指令.

潢川县17656886084: 单片机模拟SPI时钟控制 -
秘树联邦: MISO是主入从出,mosi是主出从入 比如说单片机像一个有SPI接口的LED驱动发数据时,先将SCK送低电平,然后送一位数据到mosi,这时,把SCK送一,然后再送0,就实现了一个上升沿并为下一个上升沿做准备.如此往复,知道8位数据送完. 当单片机要读数据时,数据是在MISO引脚上的,这时,把SCK拉高,然后再拉低,数据就会出现在MISO引脚上

潢川县17656886084: 写一个51单片机模拟SPI总线的代码 -
秘树联邦: 这是我复制的,一搜一大堆#include sbit SPI_DI =P0^1; //MMC数据输入 sbit SPI_DO =P0^0;//MMC数据输出,可不接 sbit SPI_SCL=P0^2;//时钟线 void Write_Byte(unsigned char value) { unsigned char i; for (i=0;i{ if (((value>>(7-i))&0x01)==0x01)...

潢川县17656886084: 单片机控制spi串口sclk怎么控制? -
秘树联邦: 一般 都 用普通IO口模拟, 输出高电平 延时 低电平 延时即可 也可用2个空操作代替延时函数

潢川县17656886084: 用8051的单片机的SPI口和存储器通信,2个芯片之间的连线应该用什么呢?普通导线就可以吗 -
秘树联邦: SPI接口一般是4线的(还有一个CS,有些简单的器件则没有),这是一种标准总线接口.连线直接用普通导线即可.另外,如果你选用的单片机本身就带有SPI接口,那么直接连线即可,简单点的51单片机还得用IO口去模拟SPI时序.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网