关于7段数码管显示问题

作者&投稿:仲董 (若有异议请与网页底部的电邮联系)
7段数码管显示数字不正确,求解~

与数码管驱动芯片的接法,和对应的数值或者字母编码有关。。。。引脚的接法不同 或者 芯片不同,编码也就不一样的。。。

先不说程序对不对,就仿真图就问题多多,P0口输出段码,要加上拉电阻,明白什么是上拉电阻吗?你加的电阻却是串联,根本就起作用。输出段码和位码是用两个573锁存的,程序是用P0口输出段码和位码然后锁存的,可仿真图却用P1口锁存位码,程序和图不符。数码管有6位一体的,画图更方便简单,连线更少,就不用加这么多的网络标号了,画这个图很费劲吧!图这么画:
试了你的程序也不对的。


7段数码管其实是八段,有时小数点没有使用,所以常说7段,

显示原理是这样的 

数码管首先从上到右,到下到左,到中间,最后到小数点分别标记为a b c d e f g dp八段其中小数点位DP为最高位,a段为最低位,要想显示什么字符只需要使对应的段发光即可,一般的习惯是单片机的端口的最低位接a段,次低位接b段,...最高位(如p0.7)接dp,显示码从高往低表示为为 dp g f e d c b a

如要显示“1”,只需要将b、c段点亮即可,若是共阴极,片选是低电平选中,某一段输出高电平点亮,即显示码为00000110 即0x06,其他的依照该方法类推

你给的码表为共阴极的。

共阳极的恰巧相反!

数码管的示意图如下图所示



你这个是共阴的数码管。0x3f是“0”字显示的控制段码,它的二进制数是0011 1111,8 位所控制 的数码管的各段分别是hgfedcba,低电平该段灭,高电平该段亮。如图。



你说的这个办法其实是最稳妥,最不容易出问题的程序。把十六进制全部换成二进制,就很容易看懂了啊!
3FH(11 1111)06H(110)5BH(101 1011)4FH(100 1111)……
在这些数中,0表示的是低电位,而1表示的是高电位,你现在知道了吗?它可以直接输出到七段数码管,也可以输入到一些解码芯片。共阴极七段数码管则某一段输入为1,那么这一段数码段就会亮。而共阳样七段数码管则某一段输入为0,那么这一段也会亮。亮的这些段,结果是同一样的,都会组成1,2,3……9这些数字。
我理解的原理就是这样的。别人的说得更明白一些,我的表达能力有限。


eda实验如何实现60进制计数器七段数码管的显示
实验四 七段数码管显示电路一、实验目的实现十六进制计数显示。二、硬件需求EDA\/SOPC实验箱一台。三、实验原理七段数码管分共阳极与共阴极两种。共阳极数码管其工作特点是,当笔段电极接低电平,公共阳极接高电平时,相应笔段可以发光。共阴极数码管则与之相反,它是将发光二极管的阴极短接后作为公共阴极...

7段数码管显示数字不正确,求解
与数码管驱动芯片的接法,和对应的数值或者字母编码有关。。。引脚的接法不同 或者 芯片不同,编码也就不一样的。。。

数码管的问题
七段数码管一般是10个管脚,其中两个为公用管脚,内部是连接到一块的,如果是共阳极的他就是数码管的正极,共阴极的就是数码管的负极,可作为片选端口。剩余的八个管脚分别是数码管的七段LED和小数点LED。在显示过程中,如果不需要显示小数点的话,小数点LED的管脚可以悬空。 zjlei88 | 发布于2009-08-21 举报|...

七段数码管显示数字0到9
计算器中用七根数码管显示0-9,应用了哪个发明技巧1、计算器的显示其实很简单你细心观察一下那个显示屏,就会发觉每一个数字其实是由7段数码管组成一个8字,右下方有一个小数点,也是数码管。2、CD4511和CD4518配合而成一位计数显示电路,要多位计数,只需将计数器级联,每级输出接一只CD4511和LED...

单片机4*4键盘控制四位7段数码管显示00-15问题,十位数字闪一闪后就不亮...
你的显示是动态的,必须时时扫描,也就是循环送显示数据,否则就无法正常显示,include<reg51.h> sbit a=P3^4;sbit b=P3^5;sbit c=P3^6;sbit d=P3^7;sbit e=P2^0;sbit f=P2^1;sbit g=P2^2;sbit h=P2^3;sbit i=P2^6;sbit j=P2^7;bit flag;unsigned char flag;unsigned char ...

proteus在P2引脚接上7段共阴数码管时显示灰色是怎么回事
这种共阴型数码管既然都亮了,就说明加的是高电平。但因为每段没有加限流电阻,而每段的LED亮时的压降不足2V,这样就使得P2引脚输出的电压就低于2V。在proteus中如果输入引脚悬空时,输入状态未知,引脚就会显示出灰色。再有就是这种,电压大于1V,小于2V时也显示灰色,为过渡电平。因此,P2口显示...

共阳极的7段数码管显示带字符9时的字形码为什么?
这写程序的都知道共阳数码管的段码表的,用C语言写时,要首先定义段码表的,unsigned char table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};这最后一个0x90就是9的字形码

用编程怎么变出七段数码管显示从a——f
可以编写个程序,把它们都显示出来。这些花样里面,有些是可以看成数字0~9的。有些,则需要“联想”,看它像什么。4、图2-13共阳极数码管和共阴极数码管的内部结构图用七段数码管除了可以显示0~9的阿拉伯数字外,还可以显示一些英语字母。下表是常见的字母与7段显示关系(共阴极数码管)。

共阴极7段数码管显示C程序
单片机7段数码管动态显示程序代码及其说明如下:include <reg51.h> include <intrins.h> include<math.h> unsigned char data dis_digit; \/\/dis_digit---位选通值, 传送到P2口用于选通当 \/\/前数码管的数值, 如等于0xfe时,选通P2.0口数码管 unsigned char code dis_code[11]={0xc0,0xf9,...

共阳极7段显示数码管怎么没有显示
驱动信号错误。七段数码管是基于发光二极管封装的显示器件,分为共阳极和共阴极2种结构,共阳极7段显示数码管没有显示是因为驱动信号错误导致,数码管也称作辉光管,是一种可以显示数字和其他信息的电子设备。

下花园区15078132987: 关于7段数码管显示问题 -
林雨博清: 7段数码管其实是八段,有时小数点没有使用,所以常说7段, 显示原理是这样的数码管首先从上到右,到下到左,到中间,最后到小数点分别标记为a b c d e f g dp八段其中小数点位DP为最高位,a段为最低位,要想显示什么字符只需要使对应的段发光即可,一般的习惯是单片机的端口的最低位接a段,次低位接b段,...最高位(如p0.7)接dp,显示码从高往低表示为为 dp g f e d c b a 如要显示“1”,只需要将b、c段点亮即可,若是共阴极,片选是低电平选中,某一段输出高电平点亮,即显示码为00000110 即0x06,其他的依照该方法类推 你给的码表为共阴极的. 共阳极的恰巧相反! 数码管的示意图如下图所示

下花园区15078132987: 电梯轿厢内七段数码显示不全是什么原因 -
林雨博清: 先倒换一个好的试试,如果倒换的可以,那就是这个的七段码有数码管坏了,或焊接点不行了,如果还不行,那就要考虑串行板损坏或机房的线路是不是松动掉落~

下花园区15078132987: 下图哪里出错了?七段数码管为什么不亮? -
林雨博清: 前面的电路没看,就说说你显示部分的问题吧! 首先,你选的显示数码管是共阳极数码管,CA端应该接高电平,CK端才是接低电平 其次,74LS48D译码器接法有误,3、4、5端都应该接高电平,不应该用高电平 其三,74LS48D输出的信号可...

下花园区15078132987: 三极管驱动七段数码管显示 -
林雨博清: 这个电路图有点问题,不过应该不会引起你所说的故障.你使用的是NPN三极管,并且组成的是共集电极放大电路(射极跟随器),在这里并不适合使用这个电路,因为它要求三极管基极的电压要足够高.另外,你在三极管基极连接的上拉电阻,在IO输出0时,会与限流电阻分压,三极管可能无法可靠截止.

下花园区15078132987: 用proteus进行仿真,用汇编语言编写,想让8255在7段数码管上输出不同的数字,为什么7段数码管上总是显示8? -
林雨博清: 总是显示8,说明数据没有有效地传送到数码管.可能是8255的控制字或是端口地址不对.

下花园区15078132987: 单片机驱动数码管显示问题 -
林雨博清: 前不久刚弄的类似显示程序,调整了下给你,看看有用不.电路图是4位显示的,程序已经改成了2位显示. ;单片机内存分配申明! TEMPER_L EQU 28H ;用于保存计数值 A_BIT EQU 20H ;数码管个位数存放内存位置 B_BIT EQU 21H ;数...

下花园区15078132987: 在图20.69所示中,若u为正弦电压,其频率f为1Hz,试问七段LED... - 上学吧
林雨博清: 完全可以用输出三位来实现,但是你要用一种芯片74LS47驱动七段数码管,这样PLC只要对应输出001, 010 ,011 ,100,七段数码管就会显示1,2,3,4,而不需要去单独驱动七段中的每一段.当然市场上也有卖的七段数码管内部直接带驱动芯片的:常州半导体厂就生产,可以打电话问问. 另外你的控制逻辑不是很难啊,没必要用PLC,通过卡诺图和语句表,用与非门电路完全也可以搭出来啊. 或者是直接用用电子电路控制七段数码管每一段,四个按钮分别做电源开关控制四套电路,每套电路对应驱动实现七段数码管显示1,2,3,4,即可. 很简单的,祝你好运.

下花园区15078132987: 7段数码管 -
林雨博清: 好像是没有,有能显示的输出也是没意义的段符,三个办法:1-单片机--可以译码也可以驱动--2051即可,还可以动态扫描--同时驱动几位7段数码管,当然 这些需要编程,用a51或c51,对于这个功能a51更有性能上的优势.一位大概5元,四位大概6元,八位大概7元.2-pld 比如16v8,当然也需要编成 用vhdl类语言.不便宜3-专用电路,基本买不到,即使买到也很贵.你可以百度消息我.我可以给你生产,或者教你.

下花园区15078132987: VHDL语言EDA 7段数码管同时显示问题
林雨博清: 好久没玩VHDL了,给你点提示吧,具体编程我还得好好去想,懒了都.给你个提示,使用动态扫描的方法,七个数码管依次进行扫描.数据该是多少就是多少,000的时候,数码管对应的是0111111,扫码对应的是0000001(共阴)或1111110(共阳);然后依次类推;总之你这个程序是少一部分的,不能像你这么简单.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网