利用74LS138设计一个三人表决器?

作者&投稿:宦庆 (若有异议请与网页底部的电邮联系)
用3——8线译码器74LS138设计一个三人表决电路~

1表示赞成。。0表示否定。。
011 101 110 111四种情况表决通过。。
A B C代表3个人,然后简化。。有非门不好打出公式来,,思路就这样

与非门用74LS20,四输入与非门。
0表示否定。
011
101
110
111四种情况表决通过。。
A
B
C代表3个人,然后简化1表示赞成

扩展资料:
用一块3线-8线译码器74LS138可以组成任何一个三变量输入的逻辑函数,任意一个输入三变量的逻辑函数都可以用一块3线-8线译码器74LS138来实现。因为任意一个组合逻辑表达式都可以写成标准与或式的形式,即最小项之和的形式,而·块3线-8线译码器74LS138的输出正好是二变量最小项的全部体现。
参考资料来源:百度百科-74LS138

1表示赞成,0表示否定。

011 101 110 111四种情况表决通过。

A B C代表3个人,然后简化。

或:

Sa,Sb,Sc为三裁判按键,按下=1通过,S为开始键

真值表中绿色圈为通过组合,通过後LED亮。

138译码器的ABC做为输入端,Y3,Y5,Y6,Y7连在一个与非门上,令其输出为Y,若Y为高电频,则表决通过,Y为低电频则表决不通过。

扩展资料:

74LS138可以组成三变量输入,四变量输入的任意组合逻辑电路。

用一块3线-8线译码器74LS138可以组成任何一个三变量输入的逻辑函数,任意一个输入三变量的逻辑函数都可以用一块3线-8线译码器74LS138来实现。因为任意一个组合逻辑表达式都可以写成标准与或式的形式,即最小项之和的形式,而·块3线-8线译码器74LS138的输出正好是二变量最小项的全部体现。

参考资料来源:百度百科-74LS138



利用74LS138设计一个三人表决器,输入变量为ABC,输出为Y,仿真图如下。




求电子大神用74LS138来设计一个电路,题目如下
74LS138是3--8线译码器,当G1=1,G2a=G2b=0时,输入端A B C与输出端Y0---Y7的逻辑关系为:按题目要求,可以理解为当A B C中1少于2个时,输出F为0,从表中可以看出,满足上述条件时,Y0、Y1、Y2、Y4分别为低电平,即F=0,于是可以这样接线(见图),当Y0、Y1、Y2、Y4中任何一个为...

用3——8线译码器74LS138设计一个三人表决电路 表决的原则是少数服从多...
1表示赞成。。0表示否定。。011 101 110 111四种情况表决通过。。A B C代表3个人,然后简化。。有非门不好打出公式来,,思路就这样

设计这个逻辑电路,要求用74LS138实现
设计这个逻辑电路,要求用74LS138实现一把密码锁有三个按键,分别为A.B.C,当三个按键都不按下时,锁不打开也不报警,当只有一个按键按下时,锁不打开,但是报警,当有两个按键同时按下时,锁打开不报警,当三个按键同时按下时,锁被打开,也要报警。设计此逻辑电路,要求使用74LS138 展开 ...

用74LS138设计电路,用来判断三位二进制数是否大于2,小于等于5?_百度知...
二暖暖4138设计电路的回用来判断三位的二进制的数是否大于二的小于等于五的方法,也是可以计算出来的

用一片74LS138和一片74LS20设计实现三输入多数表决电路 1.写出设计过...
LS138 是低电平输出有效,把 LS20 看成是低电平有效的或门。F = CBX + CXA + XBA ;X 是任意值 。= 111 + 110 + 101 + 011 = Y7' + Y6' + Y5' + Y3'LS138 的片选 4脚、5脚 接地,6脚接高电平,4个输出接入 LS20 即可。

74L138译码器对51单片机实现什么功能
74L138译码器对51单片机实现功能当一个选通端(E3)为高电平,另两个选通端(E1)和\/(E2))为低电平时,可将用单片机3个引脚控制地址端的二进制编码在一个对应的输出端以低电平译出。若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器用与非门组成的3线-8线译码器74LS1383线-8线...

用74LS138设计一三人投票表决电路,表决规则为多数通过
在输入端接三个互相隔离的脉冲开关就行了,每个开关只允许接通一次,直到重新复位,输出端的灯表示人数,接到所要的位置就行了

试用一片输出低电平有效的3线—8线译码器74LS138设计一个判定电路。_百...
这个电路应该很简单,不用画真值表,要不然反而走了弯路.三位二进制输入代码译码后为0-8,其中能被5整除的只有0和5.当没有代码输入时,74LS138的8个输出端都呈现高电平.你可以把74LS138输出端的0、5脚接到一个2输入与非门,74LS138输出的其它引脚悬空,与非门输出端的电平就可以达到你的要求了.希望这能...

用74ls138和74ls151设计三人表决器和全加器
用74ls138设计三人表决器 用74ls138设计全加器 用74ls151设计三人表决器

74LS138为什么电路设计输出的是Y3和Y5(也就是那两根黄线)求大神解释...
不仅是两个。是三个输出:Y3、Y5、Y7。送到与非门的输入端。Y7,图中有连线,只是没有画出黄线。本答案正确。别忘了采纳。

腾冲县17221649854: 设计一个三变量表决电路:输出与多数变量的状态一致.设计一个三变量表决电路:输出与多数变量的状态一致.(1)用与非门实现;(2)用74LS138译码器... -
扈嵇丹瑞:[答案] 2.用数据选择器74LS151设计逻辑函数: - - - - - Y=ABCD+ABC+ABCD

腾冲县17221649854: 用74ls138和74ls151设计三人表决器和全加器 -
扈嵇丹瑞: 用74ls138设计三人表决器 用74ls138设计全加器 用74ls151设计三人表决器

腾冲县17221649854: 设计一个三变量多数表决电路,当输入的三个变量中有两个或两个以上为1时,输出为1,否则为0 -
扈嵇丹瑞: 3变量接74LS138的ABC脚,与非门74LS20(只用一个)的4个输入脚分别接74LS138输出的Y3、Y5、Y6、Y7脚,与非门输出就是你要得的结果.

腾冲县17221649854: 用74138和7400各一片实现三人表决电路的逻辑表达式? -
扈嵇丹瑞: 三个人 A,B,C 表达式=AB+BC+AC. 一片7400上面有4个与非门,因此将ABC两两组合,之后三个输出到 138上,代表了3个状态.

腾冲县17221649854: 用8选1数据选择器74LS151设计三输入多数表决电路 -
扈嵇丹瑞: 如果三个人对一件事情的通过与否进行表决,则按照经验,如果有两个或两个以上的人通过,则该事情最终被通过.下面我们就用数字电子技术的相关知识制作这么一个表决器.假设通过用高电平“1”来表示,相反,则不通过用低电平“0”来...

腾冲县17221649854: 组合逻辑电路设计一个三人表决器,当表决某一提案时,只要两个人以上
扈嵇丹瑞: 三人表决,两人以上同意则为通过,应该包含二人同意,否则就是只要有一人反对则不能通过;因为没有弃权选项,所以简单; 表决通过按钮按动时输出一个高电平,用三个两输入端与门,每个与门的两输入端均与其他两个与门的一个输入端并联,构成三个输入端,分别接通表决按钮,三个与门输出端连入一个三输入或门,或门输出端接通过显示; 当三个输入端任意两个或三个同时处于高电平时,总有一个或三个与门输出高电平,使得其后的或门输出高电平,驱动显示表决通过.

腾冲县17221649854: 设计一个三人表决电路,ABC c具有否定权,用与非门实现怎么做?求教 -
扈嵇丹瑞: 表决是2人及以上通过有效,但由于C有否决权,所以只有在C通过A或B的表决才有效. 1、逻辑表达式Y=AC+BC=[(AC)'(BC)']' 2、逻辑电路图:

腾冲县17221649854: 利用4选1数据选择器(74LS153)设计一个3人表决器电路 -
扈嵇丹瑞:[答案] 这题.不知道给了你答案会不会害你.数电很有趣的,能锻炼你的逻辑能力.设三个人分别用A、B、C表示,F=1表示通过,F=0表示不通过.1表示同意,0表示不同意.(假设你的要求是有两个以上的人同意就通过)得以下真值表:A ...

腾冲县17221649854: 仅用两输入与非门实现三人表决器 -
扈嵇丹瑞: 首先逻辑函数变换公式,将三人表决器的逻辑表达式变换一下.具体过程如下:第一步:设(AB)'=F,(AC)'=G,(BC)'=H;Y=(FGH)' 第二步:利用反演定理进行函数变换 Y=(FGH)'=F'+G'+H'==(FG)'+H'=[(FG)'·H']' 第三步:根据逻辑表达式画出...

腾冲县17221649854: 设计一个三输入的多数表决电路,画出有2个或2个以上输入为1则输出为1的逻辑电路图 -
扈嵇丹瑞: 见下图(A、B、C为输入变量,D为输出变量)——

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网