用FPGA做示波器时候AD数据读入示波器怎么在普通显示器上显示? 详细点 谢谢了~~具体的话还会加分~~

作者&投稿:但咐 (若有异议请与网页底部的电邮联系)
用matkab输出圆的数据存放入FPGA中,读出数据后通过DA转换在示波器上看到圆。~

这个才30分,太少了

Peak detect 是检测的该通道峰值或最大值。

1、最笨的方法,在sopc中添加nios核和几个IO口,把FPGA当做单片机用,上相关液晶网站,对照它提供的驱动在niosIDE里面写相应驱动。
2、用verilog写AD和显示器的驱动,这种办法是最好的,因为FPGA的nios核不强大,用这种方法做出的示波器的频带能达到很宽。
3、两者结合,用verilog写AD驱动,将采集到的数据转化为32位的,往sopc加入该hdl和nois核,接着按1所述。这样物尽其用,即可以利用FPGA高速逻辑,又可以简化更改液晶驱动带来的麻烦,做出的示波器估计带宽起码可以达到普通AD的极限吧。

呵呵 其实你就是要把示波器 屏幕上的输出信号显示在普通显示器上吧,参考下下面的回答,我也学电子的,不过 基本没什么收获 呵呵 还是羡慕下你们这些高科技人才 哈哈

带USB的示波器就可以的

软件简单,价格便宜。
估计你是找虚拟示波器了
可以找张示波器卡

http://wenku.baidu.com/view/e4b6846925c52cc58bd6bece.html

去看看吧楼主:我对这个也不懂,但是我帮您去找了找,不知道是否对您有帮助!!!!!!如果觉得好了,再加分,不好就算了!!!


逻辑险象用示波器观察波形毛刺时输入信号为什么要高
这是因为在信号变化的瞬间,组合逻辑的输出有先后顺序。信号的高低电平转换也需要一定的过渡时间,由于存在这两方面因素,多路信号的电平值发生变化时,在信号变化的瞬间,组合逻辑的输出有先后顺序,并不是同时变化,往往会出现一些不正确的尖峰信号。信号在FPGA器件内部通过连线和逻辑单元时,都有一定的延时...

fpga 和dsp现场使用时经常烧坏。。求解决方案
解决方法:1.首先先调查实际的使用环境,看电源电压突然增高到了多少,比如到10V。2.要对输入保护电路重新测试,比如先输入5V,再输入5V以上的电压,比如10V,具体电压取决于实际的使用环境,注意场效应管的耐压要足够。3.用示波器观测输入保护电路的电源输入和电源输出,看在电源电压跳变的时候输入保护电路...

示波器原理与使用
存储器缓存即存储深度,一般存储器的大小是示波器标识存储深度大小的四倍,因为FPGA无法控制示波器的触发,因此采集的信号必定先是标识存储深度的2倍,然后再来根据触发筛选其中的一段波形,所以示波器可以看到触发位置之前的波形。又由于示波器在筛选之前采集的波形的时候,采集不能停,否则就会导致波形捕获率太低,因此同时还...

FPGA的IO输出应为3.3V,但实际只有1V,请教大神,这是为什么?(注:烧写的...
这个需要在你的工程中对管脚进行约束的,如果约束好了的话,你就需要检查自己硬件板上的FPGA电源和地整对了没?另外,管脚电平水平也不是随便可以满足的,具体看一下芯片资料吧。如果FPGA芯片没有问题的话,怀疑是你那个bank给的参考电压有问题

实现基于FPGA的简易多功能信号发生器,产生稳定的正弦波、三角波、方...
首先有一个DAC芯片,然后FPGA控制这个DAC芯片。在FPGA内部设置一个RAM,这个RAM里初始化时存放一堆DAC的数据。简单来说存放:1)方波,2个幅值的;2)锯齿波,2个幅值的;3)三角波,2个幅值的;4)正弦波,2个幅值的。然后ABD三个拨码开关选择地址——8个起始地址,其中每个起始地址后面都存放128个...

如何学习FPGA
FPGA设计与硬件直接相关,需要实实在在的调试仪器,譬如示波器等。这些硬件设备一般比较昂贵,这就造成一定的入门门槛,新人在入门时遇到一点问题或者困难,由于没有调试设备,无法定位问题,最后可能就会放弃。其实这时如果有人稍微指点一下,这个门槛很容易就过去。我用FPGA做设计很多年了,远达不到精通的...

求助FPGA开发平台需要哪些仪器
首先需要开发板,开发板上有哪些外设需要你的开发需求来决定,另外就是在电脑上安装开发环境,ISE、Quartus软件,Modelsim仿真软件等,还有需要些工具,如下载器、示波器、逻辑分析仪等,这些是最基本的

最近用FPGA在做一个mii接口的收发程序,遇到一个问题
这个问题很可能是时序的问题,有以下两个可能:1、FPGA的输出方向,输出延时output delay在有无signal tap ii时发生变化,造成MII时钟和数据的相位关系改变,或者说开始对方芯片时钟可以采到正确的数据,但output delay变化后时钟采不到正确的数据。2、时钟频率约束。增加MII时钟频率约束。由于MII的时钟仅为...

单片机或者 FPGA能不能通过设计程序 输出正弦波 锯齿波以及其他波形...
用DDS方法去产生你需要的任意波形,比如AD9850,单片机控制其产生正弦波或者锯齿波,频率可以任意调整。一个DDS芯片AD9850,一片单片机AT89S52,全搞定,比你用FPGA简单多了吧?

示波器原理与使用
存储器缓存即存储深度,一般存储器的大小是示波器标识存储深度大小的四倍,因为FPGA无法控制示波器的触发,因此采集的信号必定先是标识存储深度的2倍,然后再来根据触发筛选其中的一段波形,所以示波器可以看到触发位置之前的波形。又由于示波器在筛选之前采集的波形的时候,采集不能停,否则就会导致波形捕获率太...

井陉矿区18590379763: 用FPGA做示波器时候AD数据读入示波器怎么在普通显示器上显示? 详细点 谢谢了~~具体的话还会加分~~ -
延丽甲硝: 呵呵 其实你就是要把示波器 屏幕上的输出信号显示在普通显示器上吧,参考下下面的回答,我也学电子的,不过 基本没什么收获 呵呵 还是羡慕下你们这些高科技人才 哈哈 带USB的示波器就可以的 软件简单,价格便宜. 估计你是找虚拟示波器了 可以找张示波器卡

井陉矿区18590379763: 我的毕业设计是示波器,然后用stm32加fpga的形式来搞!那么问题来了 -
延丽甲硝: 用来从AD采集数据,缓存数据,然后送给stm32再进行显示

井陉矿区18590379763: FPGA怎么连接示波器 -
延丽甲硝: 只需用示波器接FPGA的I/O脚进行信号取样即可,但FPGA的I/O脚众多,而示波器的取样通道有限,可采用切换开关还对I/O脚输出到示波器的过程中进行切换,从功能上简单来讲类似于电脑城卖显示器用的信号共享及切换器.自己弄几个切换开关接好线即可,可来回转换.

井陉矿区18590379763: verilog设计AD转换器如何进行模拟量的输入? -
延丽甲硝: 模拟量的输入可以从DA的输出来,所以如果你有DA也有AD的话,就可以现在FPGA内部产生数字信号,通过DA后输入到AD.当然这是用于测试. 你也可以用其他仪器如示波器等来产生模拟信号输入到AD

井陉矿区18590379763: 基于FPGA的存储示波器设计 -
延丽甲硝: 基于FPGA的存储 示波器,那就是主要拿FPGA来控制数据的存储和调用.比如FPGA控制SD卡.如果还要FPGA做数据采集,也就是加AD功能.再加上FPGA驱动显示屏显示.功能上应该就主要就这三部分.

井陉矿区18590379763: FPGA与ADS8556通信问题 -
延丽甲硝: FPGA内部自己产生的信号不会有干扰,基本是理论信号(高就是高,低就是低,都是自己产生的状态确定).但是外部来的信号就存在判决门限问题了,常用的IO电平标准是TTL和coms,假设你设置为3.3VTTL电平,那高于2.4V就是高电平,...

井陉矿区18590379763: FPGA如何将AD转黄芯片的串行输入数据变成并行的数据进行处理?采样芯片的采样时钟与FPGA之间该如何连接? -
延丽甲硝: FPGA内部写一个串转并的模块(其实就是一个16位的移位寄存器),等16位数据都接收到后一次输出给内部处理.这样的话,提供给AD7705的SPI时钟16分频之后给串转并的输出控制就可以了

井陉矿区18590379763: 使用FPGA为核心器件设计并制作一台用于显示被测波形的简易数字存储示波器.怎么做呀 无思路,请教大神 -
延丽甲硝: 理论上用一个2MHz的ADC即可,带通采样,再考虑一下分辨率选择几位;FPGA驱动LCD显示;其余在FPGA里面做,存储…… 应该就是一个本科毕设吧!!!!

井陉矿区18590379763: 基於fpga 的虚拟示波器如何在电脑上显示波形 -
延丽甲硝: 如果想显示出这个波形,就得需要AD等模拟转数字的芯片了,是可以在电脑上显示出来的,如果波形仿真是不能单步调试的(FPGA是并行执行的),但是你自己可以写状态机来控制你走到那个阶段,而实际中可以用signaltap等软件掐出信号的信息或者显示波形.而单论调试仿真,稍微高级点的Q2版本已经没有波形仿真这个功能,用modelsim专门软件来仿真,是允许你自己编写想要的激励,仿真时间等信息.

井陉矿区18590379763: 用Quartus II的逻辑分析仪看不到探测器经AD9254后输入FPGA的信号
延丽甲硝: 逻辑分析仪也要满足采样定律,NaI闪烁体探测器输出的脉冲 --- 经ADC转换成数字量再经DAC---在示波器上能看到指数信号,说明ADC能够正常采集到信号,并且能还原,说明系统满足采样定律,逻辑分析仪显示的是接近于0的一系列数字信号,如果你逻辑分析仪的采样时钟跟ADC的采样时钟一样,那就说明信号本身就很小.如果逻辑分析仪的采样时钟小于ADC的采样时钟,则说明你逻辑分析仪采的数据是错的.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网