单片机交通灯程序

作者&投稿:营荣 (若有异议请与网页底部的电邮联系)
c51单片机c语言交通灯的程序~

Proteus仿真原理图:

程序如下:
#include
#define uchar unsigned char
#define uint unsigned int
uchar data buf[4];
uchar data sec_dx=20;//东西数默认
uchar data sec_nb=30;//南北默认值
uchar data set_timedx=20;
uchar data set_timenb=30;
int n;
uchar data b;//定时器中断次数
sbit k1=P1^6;//定义5组开关
sbit k2=P1^7;
sbit k3=P2^7;
sbit k4=P3^0;
sbit k5=P3^1;
sbit Yellow_nb=P2^5; //南北黄灯标志
sbit Yellow_dx=P2^2; //东西黄灯标志
sbit Green_nb=P2^4;
sbit Green_dx=P2^1;
sbit Buzz=P3^7;
bit Buzzer_Indicate;
bit time=0;//灯状态循环标志
bit set=1;//调时方向切换键标志
uchar code table[11]={ //共阴极字型码
0x3f, //--0
0x06, //--1
0x5b, //--2
0x4f, //--3
0x66, //--4
0x6d, //--5
0x7d, //--6
0x07, //--7
0x7f, //--8
0x6f, //--9
0x00 //--NULL
};
//函数的声明部分
void delay(int ms);//延时子程序
void key();//按键扫描子程序
void key_to1();//键处理子程序
void key_to2();
void key_to3();
void display();//显示子程序
void logo(); //开机LOGO
void Buzzer();
//主程序
void main()
{
TMOD=0X01;
TH0=0XD8;
TL0=0XF0;
EA=1;
ET0=1;
TR0=1;
EX0=1;
EX1=1;
logo();
P2=0Xc3;// 开始默认状态,东西绿灯,南北黄灯
sec_nb=sec_dx+5;
while(1)
{
key(); //调用按键扫描程序
display(); //调用显示程序
Buzzer();
}
}
//函数的定义部分
void key() //按键扫描子程序
{
if(k1!=1)
{
delay(10);
if(k1!=1)
{
while(k1!=1)
{
key_to1();
for(n=0;n<40;n++)
{ display();}
}
}
}
if(k2!=1)
{
delay(10);
if(k2!=1)
{
while(k2!=1)
{
key_to2();
for(n=0;n<40;n++)
{ display();}
}
}
}
if(k3!=1)
{
TR0=1; //启动定时器
Buzzer_Indicate=0;
sec_nb=set_timenb; //从中断回复,仍显示设置过的数值
sec_dx=set_timedx;
if(time==0)
{ P2=0X99;sec_nb=sec_dx+5; }
else { P2=0xC3;sec_dx=sec_nb+5; }
}
if(k4!=1)
{
delay(5);
if(k4!=1)
{
while(k4!=1);
set=!set;
}
}
if(k5!=1)
{
delay(5);
if(k5!=1)
{
while(k5!=1)
key_to3();
}
}
}
void display() //显示子程序
{
buf[1]=sec_dx/10; //第1位 东西秒十位
buf[2]=sec_dx%10; //第2位 东西秒个位
buf[3]=sec_nb/10; //第3位 南北秒十位
buf[0]=sec_nb%10; //第4位 南北秒个位
P1=0xff; // 初始灯为灭的
P0=0x00;
P1=0xfe; //片选LCD1
P0=table[buf[1]];
delay(1);
P1=0xff;
P0=0x00;
P1=0xfd; //片选LCD2
P0=table[buf[2]];
delay(1);
P1=0xff;
P0=0x00;
P1=0Xfb; //片选LCD3
P0=table[buf[3]];
delay(1);
P1=0xff;
P0=0x00;
P1=0Xf7;
P0=table[buf[0]]; //片选LCD4
delay(1);
}
void time0(void) interrupt 1 using 1 //定时中断子程序
{
b++;
if(b==19) // 定时器中断次数
{ b=0;
sec_dx--;
sec_nb--;
if(sec_nb<=5&&time==0) //东西黄灯闪
{ Green_dx=0;Yellow_dx=!Yellow_dx;}
if(sec_dx<=5&&time==1) //南北黄灯闪
{ Green_nb=0;Yellow_nb=!Yellow_nb;}
if(sec_dx==0&&sec_nb==5)
sec_dx=5;
if(sec_nb==0&&sec_dx==5)
sec_nb=5;
if(time==0&&sec_nb==0)
{ P2=0x99;time=!time;sec_nb=set_timenb;sec_dx=set_timenb+5;}
if(time==1&&sec_dx==0)
{P2=0Xc3;time=!time;sec_dx=set_timedx;sec_nb=set_timedx+5;}
}
}
void key_to1() //键盘处理子程序之+
{
TR0=0; //关定时器
if(set==0)
set_timenb++; //南北加1S
else
set_timedx++; //东西加1S
if(set_timenb==100)
set_timenb=1;
if( set_timedx==100)
set_timedx=1; //加到100置1
sec_nb=set_timenb ; //设置的数值赋给东西南北
sec_dx=set_timedx;
}
void key_to2() //键盘处理子程序之-
{
TR0=0; //关定时器
if(set==0)
set_timenb--; //南北减1S
else
set_timedx--; //东西减1S
if(set_timenb==0)
set_timenb=99;
if( set_timedx==0 )
set_timedx=99; //减到1重置99
sec_nb=set_timenb ; //设置的数值赋给东西南北
sec_dx=set_timedx;
}
void key_to3() //键盘处理之紧急车通行
{
TR0=0;
P2=0Xc9;
sec_dx=00;
sec_nb=00;
Buzzer_Indicate=1;
}
void int0(void) interrupt 0 using 1 //只允许东西通行
{
TR0=0;
P2=0Xc3;
Buzzer_Indicate=0;
sec_dx=00;
sec_nb=00;
}
void int1(void) interrupt 2 using 1 //只允许南北通行
{
TR0=0;
P2=0X99;
Buzzer_Indicate=0;
sec_nb=00;
sec_dx=00;
}
void logo()//开机的Logo "- - - -"
{ for(n=0;n<50;n++)
{
P0=0x40;
P1=0xfe;
delay(1);
P1=0xfd;
delay(1);
P1=0Xfb;
delay(1);
P1=0Xf7;
delay(1);
P1 = 0xff;
}
}
void Buzzer()
{
if(Buzzer_Indicate==1)
Buzz=!Buzz;
else Buzz=0;
}
void delay(int ms) //延时子程序
{
uint j,k;
for(j=0;j<ms;j++)
for(k=0;k<124;k++);
}

十字路口东西向和南北向都有红、黄、绿三种颜色的灯,东西向绿灯和南北向红灯同时亮50秒(最后的十秒要用LED显示倒计时)后,两个方向的黄灯亮3秒,;然后东西向红灯和南北向绿灯同时亮50秒(最后的十秒要用LED显示倒计时)后,两个方向的黄灯再亮3秒,如此实现循环。(注:我交通灯接的是P1口,LED的a、b、c、d、e、f、g端接的是P0口,接地是P2。6和P2。7)

采用51单片机作为系统的MCU,基本完成控制两组交通指示灯交替亮
每个街口有左拐、直行及行人三种指示灯。直行灯每个灯有红、黄、绿三种颜色。自行车与汽车共用左拐和直行灯。
首先东西向直行绿灯和行人灯亮1分钟,左转灯亮30秒,黄灯亮5秒(同时南北向红灯亮95秒),然后东西向红灯亮95秒钟(同时首先南北向直行绿灯和行人灯亮1分钟,左转灯亮30秒,黄灯亮5秒),然后东西向的绿灯亮,依次类推。
每次绿灯亮的时候,对应的行人灯亮。
60S/30S/5S/60S/30S/5S
东西道
绿和行人/左转/黄/红/红/红
南北道
红/红/红/绿和行人/左转/黄
行人灯亮的时候有声音提示盲人能通过。
突发交通事故的时候能够紧急全红灯。
在有重要人物通过的时候能手动改为绿灯。
ORG 0000H ;主程序的入口地址
LJMP MAIN ;跳转到主程序的开始处
ORG 0003H ;外部中断0的中断程序入口地址
ORG 000BH ;定时器0的中断程序入口地址
LJMP T0_INT ;跳转到中断服务程序处
ORG 0013H ;外部中断1的中断程序入口地址
MAIN : MOV SP,#50H
MOV IE,#8EH ;CPU开中断,允许T0中断,T1中断和外部中断1中断
MOV TMOD,#51H ;设置T1为计数方式,T0为定时方式,且都工作于模式1
MOV TH1,#00H ;T1计数器清零
MOV TL1,#00H
SETB TR1 ;启动T1计时器
SETB EX1 ;允许INT1中断
SETB IT1 ;选择边沿触发方式
MOV DPTR ,#0003H
MOV A, #80H ;给8255赋初值,8255工作于方式0
MOVX @DPTR, A
AGAIN: JB P3.1,N0 ;判断是否要设定东西方向红绿灯时间的初值,若P3.1为1 则跳转
MOV A,P1
JB P1.7,RED ;判断P1.7是否为1,若为1则设定红灯时间,否则设定绿灯时间
MOV R0,#00H ;R0清零
MOV R0,A ;存入东西方向绿灯初始时间
MOV R3,A
LCALL DISP1
LCALL DELAY
AJMP AGAIN
RED: MOV A,P1
ANL A,#7FH ;P1.7置0
MOV R7,#00H ;R7清零
MOV R7,A ;存入东西方向红灯初始时间
MOV R3,A
LCALL DISP1
LCALL DELAY
AJMP AGAIN
;----------------------------------
N0: SETB TR0 ;启动T0计时器
MOV 76H,R7 ;红灯时间存入76H
N00: MOV A,76H ;东西方向禁止,南北方向通行
MOV R3,A
MOV DPTR,#0000H ;置8255A口,东西方向红灯亮,南北方向绿灯亮
MOV A,#0DDH
MOVX @DPTR, A
N01: JB P2.0,B0
N02: SETB P3.0
CJNE R3,#00H,N01 ;比较R3中的值是否为0,不为0转到当前指令处执行
;------黄灯闪烁5秒程序------
N1: SETB P3.0
MOV R3,#05H
MOV DPTR,#0000H ;置8255A口,东西,南北方向黄灯亮
MOV A,#0D4H
MOVX @DPTR,A
N11: MOV R4,#00H
N12: CJNE R4,#7DH,$ ;黄灯持续亮0.5秒
N13: MOV DPTR,#0000H ; 置8255A口,南北方向黄灯灭
MOV A,#0DDH
MOVX @DPTR,A
N14: MOV R4,#00H
CJNE R4,#7DH,$ ;黄灯持续灭0.5秒
CJNE R3,#00H,N1 ;闪烁时间达5秒则退出
;-----------------------------------
N2: MOV R7,#00H
MOV A,R0 ;东西通行,南北禁止
MOV R3,A
MOV DPTR,#0000H ; 置8255A口,东西方向绿灯亮,南北方向红灯亮
MOV A,#0EBH
MOVX @DPTR,A
N21: JB P2.0,T03

N22: CJNE R3,#00H,N21
;------黄灯闪烁5秒程序------
N3: MOV R3,#05H
MOV DPTR,#0000H ;置8255A口,东西,南北方向黄灯亮
MOV A,#0E2H
MOVX @DPTR,A
N31: MOV R4,#00H
CJNE R4,#7DH,$ ;黄灯持续亮0.5秒
N32: MOV DPTR,#0000H ; 置8255A口,南北方向黄灯灭
MOV A,#0EBH
MOVX @DPTR,A
N33: MOV R4,#00H
CJNE R4,#7DH,$ ;黄灯持续灭0.5秒
CJNE R3,#00H,N3 ;闪烁时间达5秒则退出
SJMP N00
;------闯红灯报警程序------
B0: MOV R2,#03H ;报警持续时间3秒
B01: MOV A,R3
JZ N1 ;若倒计时完毕,不再报警
CLR P3.0 ;报警
CJNE R2,#00H,B01 ;判断3秒是否结束
SJMP N02
;------1秒延时子程序-------
N7: RETI
T0_INT:MOV TL0,#9AH ;给定时器T0送定时10ms的初值
MOV TH0,#0F1H
INC R4
INC R5
CJNE R5,#0FAH,T01 ;判断延时是否够一秒,不够则调用显示子程序
MOV R5,#00H ;R5清零
DEC R3 ;倒计时初值减一
DEC R2 ;报警初值减一
T01: ACALL DISP ;调用显示子程序
RETI ;中断返回
;------显示子程序------
DISP: JNB P2.4,T02
DISP1: MOV B,#0AH
MOV A,R3 ;R3中值二转十显示转换
DIV AB
MOV 79H,A
MOV 7AH,B
DIS: MOV A,79H ;显示十位
MOV DPTR,#TAB
MOVC A,@A+DPTR
MOV DPTR,#0002H
MOVX @DPTR,A
MOV DPTR,#0001H
MOV A,#0F7H
MOVX @DPTR,A
LCALL DELAY
DS2: MOV A,7AH ;显示个位
MOV DPTR,#TAB
MOVC A,@A+DPTR
MOV DPTR,#0002H
MOVX @DPTR,A
MOV DPTR,#0001H
MOV A,#0FBH
MOVX @DPTR,A
RET
;------东西方向车流量检测程序------
T03: MOV A,R3
SUBB A,#00H ;若绿灯倒计时完毕,不再检测车流量
JZ N3
JB P2.0,T03
INC R7
CJNE R7,#64H,E1
MOV R7,#00H ;中断到100次则清零
E1: SJMP N22
;------东西方向车流量显示程序------
T02: MOV B,#0AH
MOV A,R7 ;R7中值二转十显示转换
DIV AB
MOV 79H,A
MOV 7AH,B
DIS3: MOV A,79H ;显示十位
MOV DPTR,#TAB
MOVC A,@A+DPTR
MOV DPTR,#0002H
MOVX @DPTR,A
MOV DPTR,#0001H
MOV A,#0F7H
MOVX @DPTR,A
LCALL DELAY
DS4: MOV A,7AH ;显示个位
MOV DPTR,#TAB
MOVC A,@A+DPTR
MOV DPTR,#0002H
MOVX @DPTR,A
MOV DPTR,#0001H
MOV A,#0FBH
MOVX @DPTR,A
LJMP N7
;------延时4MS子程序----------
DELAY: MOV R1,#0AH
LOOP: MOV R6,#64H
NOP
LOOP1: DJNZ R6,LOOP1
DJNZ R1,LOOP
RET
;------字符表------
TAB: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH
END

org
1000h
l:jnb
p3.0,lp3
jnb
p3.1,lp2
;判断p3.1,p3.0口
;如果p3.0为1的话,正常运行交通灯
;如果p3.1为1的话,正常运行交通灯
;如果p3.1为0的话,依次点亮灯
;如果p3.0为0的话,停止交通灯,只有黄灯闪烁
;正常运行交通灯
lp1:
mov
p1,#61h
clr
p3.2
clr
p3.3
clr
p3.4
setb
p3.5
;1,3
路口红灯亮,2,4
路口绿灯亮
lcall
delay1
mov
b,#04h
;设置循环次数为4次
m:mov
p1,#51h
clr
p3.5
setb
p3.4
;1,3路口红灯亮,2,4路口黄灯亮
lcall
delay2
mov
p1,#41h
clr
p3.4
;1,3路口红灯亮,2,4路口黄灯灭
lcall
delay2
djnz
b,m
mov
p1,#0ch
setb
p3.2
setb
p3.3
;1,3路口绿灯亮,2,4路口红灯亮
lcall
delay1
mov
b,#04h
n:mov
p1,#8ah
clr
p3.2
;1,3路口黄灯亮,2,4路口红灯亮
lcall
delay2
mov
p1,#08h
;1,3路口黄灯灭,2,4路口红灯亮
lcall
delay2
djnz
b,n
ajmp
l
;黄灯闪
lp3:
clr
p1.7
clr
p3.2
clr
p3.3
clr
p3.5
mov
p1,#92h
setb
p3.4
;黄灯亮,其他的灯灭
lcall
delay2
mov
p1,#00h
clr
p3.4
;所有的黄灯灭
lcall
delay2
ajmp
l
;循环亮
lp2:
clr
p3.2
clr
p3.3
clr
p3.4
clr
p3.5
mov
a,#01h
;赋初值
mov
r0,#08
;循环变量,循环7次,控制7个灯
loop:
mov
p1,a
;点亮第一个灯
lcall
delay2
;延迟
rl
a
;a的值加1,循环左移
djnz
r0,loop
;ro的值减1不等于1则执行loop标号
setb
p3.2
;p3.2灯亮
lcall
delay2
;延迟
clr
p3.2
;p3.2灯灭
setb
p3.3
;下一个灯p3.3亮
lcall
delay2
;延迟
clr
p3.3
;p3.3灯灭
setb
p3.4
;下一个灯p3.3亮
lcall
delay2
;延迟
clr
p3.4
;p3.4灯灭
setb
p3.5
;p3.5灯亮
lcall
delay2
;延迟
ajmp
l
;延迟1
delay1
:
mov
r3,#200
del1:
mov
r4,#250
del2:
mov
r5,#50
del3:
nop
;空操作
djnz
r5,del3
djnz
r4,del2
djnz
r3,del1
;延长5秒
ret
;延迟2
delay2
:
del4:
mov
r3,#200
del5:
mov
r4,#200
del6:
nop
djnz
r4,del6
djnz
r3,del5
;延迟0.08秒
ret
end

我有!是给人家做的完整的毕业论文加硬件,我就奇怪了,是不是你们俩一个学校啊!人家是用钱买的,而区区百度币~~~


学电影放映员的工作怎么样
电影院一般有观众厅、放映机房、休息厅等。放映机房内,一般装有35毫米固定式放映座机两台,影片换本时轮流开停。为了保护和检修影片,机房内有影片湿润箱、倒片机和接片机等设置。还应有幻灯机、电唱机或收录机及传声器等设置。观众厅装有银幕和扬声器等。放映员岗位职责 1、严格按照程序,做好电影...

左转红灯,我以为绿了,走一半停了,算违章吗
3、黄灯亮时,车头不得再越过停止线。4、绿灯刚闪时,距离近且速度快时,应该通过,因为此时可能刹不住而越过停止线;距离远或速度慢或路口拥塞时,应该停止。5。城市开车,养成只要见到斑马线或停止线,遇路口,就找交通灯的习惯,在视野范围内包括交通灯。误闯可以申诉 交管部门将按照执法程序进行...

红灯亮时,车头位置过线会被拍吗?
2、黄灯亮起和红灯亮起有三秒的转换时间,电子警察是24小时工作,黄灯亮起时,电子警察不抓拍,在红灯亮起的同时才开始抓拍。3、属于特殊情况的闯红灯,如车上载有孕妇、病危病人或者前面大车挡住黄灯转换红灯时间差,导致误交管部门将按照执法程序进行核实和纠正,司机可向交管部门提供单位证明、医院证明...

找一部电影 不知道是五福星还是还是精装追女仔还是其他的
电影:精装追女仔(1987)导演:王晶 主演:周润发 张曼玉 冯淬帆 曾志伟 陈百祥 剧情:修车厂小弟在槟城邂逅美容中心的小妹,双方都要面子,一个假扮富可敌国的名流公子,另一个则伪装名门望族的千金小姐,双方周旋了一阵之后拆穿了西洋镜,引来连串爱情风波。 烂口发、吴准少与交通灯三人为死党并同在...

PPT是什么意思啊?
PPT,就是Power Point简称(是简称非缩写,下面会解释区别)。PPT是微软公司出品的office软件系列重要组件之一(还有Excel,Word等)。Microsoft Office PowerPoint 是一种演示文稿图形程序,PowerPoint是功能强大的演示文稿制作软件,也有很多人群称为幻灯片。它增强了多媒体支持功能,利用PPT制作的文稿,可以通过不...

闯红灯怎么处罚2023年新规
2023年闯红灯处罚新规是机动车驾驶人行经交叉路口违反道路交通信号灯通行的,一次记6分。闯红灯是违反道路交通信号灯通行,根据《道路交通安全违法行为处理程序规定》中的相关规定,机动车驾驶人行经交叉路口违反道路交通信号灯通行的,一次记6分。再根据《道路交通安全法》中的相关规定,可并处罚款。新交规...

误闯红灯已经开过去了怎么办
一般情况下,机动车跟在大型车辆后面被拍,都是因为过路口抢信号。这种行为只能按闯红灯予以处罚。如果车辆全身越过停止线后没再继续移动,这样并不算闯红灯。避免闯红灯的方式 1、有箭头指示灯时看箭头灯,否则看圆灯。2、红灯亮时,车头不得再越过停止线。3、黄灯亮时,车头不得再越过停止线。4、...

怎样才算闯红灯?
详情请查看视频回答

PPT 是什么意思
PPT即Microsoft Office PowerPoint,是微软公司设计的演示文稿软件。Microsoft office Powerpoint做出来的东西叫演示文稿,它是一个文件,其格式后缀名为ppt;也可以保存为pdf、图片格式等类型。演示文稿中的每一页叫幻灯片,每张幻灯片都是演示文稿中既相互独立又相互联系的内容。

汽车前后轮都过了线停下来,算闯红灯吗?
电子眼拍摄闯红灯的3张照片为:车辆驶过停止线,随后两张是车辆驶到路口中间以及到达对面路口。只有当这3张照片齐备时,才会被认定为闯红灯,在新规中扣6分。《道路交通安全条例》 第三十九条 人行横道信号灯表示:(一)绿灯亮时,准许行人通过人行横道;(二)红灯亮时,禁止行人进入人行横道,...

柳南区18816636273: 单片机交通灯程序 -
怀波淘儿: #define N1 10000#define N2 1000#define ALL_OFF 0x00#define RED_ON 0x01#define YELLOW_ON 0x02 #define GREEN_ON 0x04#define A_LIGHT PA#define B_LIGHT PB void wait_n100mS(unsigned int n) //延时n秒 { unsigned int i,j,k; for(...

柳南区18816636273: 单片机最简单的交通灯程序设计 -
怀波淘儿: #include<reg52.h> sbit green=P2^0;//绿灯 sbit yellow=P2^1;//黄灯 sbit red=P2^2;//红灯 void main(void) {delay(); green=0; yellow=0; red=0; while(1) {green=1; delay(10000); green=0; yellow=1; delay(500); yellow=0; red=1; delay(10000); red=0; } } void delay(int a) {int i; for(i=o;i<a;i++); }

柳南区18816636273: 单片机控制交通灯的程序怎么写? -
怀波淘儿: 十字路口东西向和南北向都有红、黄、绿三种颜色的灯,东西向绿灯和南北向红灯同时亮50秒(最后的十秒要用LED显示倒计时)后,两个方向的黄灯亮3秒,;然后东西向红灯和南北向绿灯同时亮50秒(最后的十秒要用LED显示倒计时)后,两个方向的黄灯再亮3秒,如此实现循环.(注:我交通灯接的是P1口,LED的a、b、c、d、e、f、g端接的是P0口,接地是P2.6和P2.7)

柳南区18816636273: 80c51单片机交通灯程序 -
怀波淘儿: ORG 1000H L:JNB P3.0,LP3JNB P3.1,LP2 ;判断p3.1,p3.0口;如果P3.0为1的话,正常运行交通灯;如果P3.1为1的话,正常运行交通灯;如果P3.1为0的话,依次点亮灯;如果P3.0为0的话,停止交通灯,只有黄灯闪烁;正常运行交通...

柳南区18816636273: 单片机简单交通灯怎么编程?? -
怀波淘儿: 红、黄、绿. 用LED来显示. 有专门的控制系统, 细节不说了. 编程就是 if (red) // 如果是红灯 yellow = false; green = false; else if (yellow) // 如果是黄灯 green = true; car_crash = true; sleep(3); // 3秒 red = true; green = false; else // 绿灯 yellow = false; red = false; 这里可以把false理解为“不亮灯”或者熄灭状态, 而true就是亮灯状态, car_crash作为一个模拟说明还可以运行.

柳南区18816636273: 求单片机交通灯控制的汇编程序代码 -
怀波淘儿: ORG 0000H LJMP STAR STAR:MOV P1,#00H MOV P0,#0FFH MOV P3,#00H L1:JB P1.0 , WAN ;;判断方式转换 MOV P3,#00H ;;P3口清零 SETB P3.2 ;;主干绿灯 SETB P3.3 ;;次道红灯 MOV 31H,#03CH ;;付初值60秒 MOV R4,#03...

柳南区18816636273: 单片机交通灯的汇编程序 -
怀波淘儿: NAME T3 ;I/O口扩展实验一 PORT EQU 0CFA0H ;片选地址CS0 CSEG AT 0000HLJMP START CSEG AT 4100H START: MOV A,#11H ;两个红灯亮,黄灯、绿灯灭ACALL DISP ;调用273显示单元(以下雷同)ACALL DE3S ;延时3秒 ...

柳南区18816636273: 单片机的红绿灯控制程序如何写啊 -
怀波淘儿: //-------------------------------------------------- //名称:LED模拟交通灯 //作者:彭伟《单片机C语言程序设计实训100例-基于8051+PROTEUS仿真》 //-------------------------------------------------- //说明:东西向绿灯亮若干秒后,黄灯闪烁,闪烁5次后亮红灯 // 红...

柳南区18816636273: 单片机:编写十字路口交通灯程序 -
怀波淘儿: #include#define uchar unsigned char#define uint unsigned int//sbit BI=P3^4; //74Ls49的消隐引脚 sbit DXR=P1^0; //东西红 sbit DXG=P1^1; //东西绿 sbit DXY=P1^2; //东西黄 sbit NBR=P1^3; //南北红 sbit NBG=P1^4; //南北绿 sbit NBY=P1^...

柳南区18816636273: 跪求单片机交通灯程序 -
怀波淘儿: #include <reg52.h>#define uchar unsigned char#define uint unsigned int sbit RED_A=P0^0; sbit YELLOW_A=P0^1; sbit GREEN_A=P0^2; sbit RED_B=P0^3; sbit YELLOW_B=P0^4; sbit GREEN_B=P0^5; uchar Flash_Count = 0; Operation_Type ...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网