为什么我的八人抢答器的数码管只可以显示1-7

作者&投稿:盛亲 (若有异议请与网页底部的电邮联系)
八路抢答器,如何实现数码管上显示的数字是从1到8,求大神指导~

模拟调节是在显示器外部设置一排调节按钮,来手动调节亮度、对比度等一些技术参数。由于此调节所能达到的功效有限,不具备视频模式功能。另外,模拟器件较多,出现故障的机率较大,而且可调节的内容极少,所以已销声匿迹。

数字调节是在显示器内部加入专用微处理器,操作更精确,能够记忆显示模式,而且其使用的多是微触式按钮,寿命长故障率低,这种调节方式曾红极一时。

OSD调节严格来说,应算是数控方式的一种。它能以量化的方式将调节方式直观地反映到屏幕上,很容易上手。OSD的出现,使显示器得调节方式有了一个

相应的更改数码管的段码就可以了,a b c d e f g dp分别代表数码管的7段码和小数点,分别点亮相应的段码,一般我们把数字都弄成了表格,通过查表即可显示相应的数字,图示的是一个典型的电路

程序问题,将源码贴出来,帮你诊断一下,哦,对了,这里长度受限,可以发我同名ID微信。

编码器74LS148编码后输出的最大数就是7,并没 有8。

sw

因为你的解码器最高位为0


数电抢答器课程设计
 我来答 2个回答 #热议# 蓝洁瑛生前发生了什么?赵东红123 2010-12-29 知道答主 回答量:10 采纳率:0% 帮助的人:0 我也去答题访问个人页 关注 展开全部 不能显示图片 电子技术课程设计题目: 八人抢答器 系部: 机电工程系 班级;07级机电(3)班 学生姓名: ...

三人抢答器。控制要求: 1)三组选手抢答,每组选手各有一个抢答按钮和一盏...
1、你的这个抢答器采用51就可以完成 2、三组选手,三个按键,占用3个 IO 口,驱动3个灯,占用3个IO口,选手抢答的时候,三组按键要互锁,并由主持人解锁。3、主持人的 2个按钮,占用2个 IO口,分别完成计时和选手按钮复位等工作。4、采用LED数码管显示,占用8个IO口,完成抢答倒计时,和抢答到...

抢答器当多人同时抢答时怎么判断(有优先编码器) 急用
当然是最先抢到的那个人回答撒。优先编码器就是起这个作用的,0——7输入中,优先选择最大的个输入来输出,也就是说当有7输入时,不管其他输入如何,都输出111(二进制)=7;先按下抢答的被排到7输入,依次降下去,输出肯定是7,这就达到抢答器的效果了。希望可以帮到你~~

急求最简单的单片机4人抢答器c51程序
先来个汇编的程序,P1接一个共阳极数码管,P0低4位接4个抢答按键,主持人复位采用单片机复位按钮实现。ORG 0000H START: MOV A,P0 ORL A,#0F0H CJNE A,#0FFH,PL0 SJMP START PL0: LCALL DELAY MOV A,P0 ORL A,#0F0H CJNE A,#0FFH,PL1 SJMP START PL1: JNB ACC.0,K1 JNB AC...

请问这个四人抢答器原理图中三极管的作用?
主为根据的某一输出的状态控制芯片内用的。图太小,看不清。

如何用VHDL编写一个五人的智力抢答器
1:最多可容纳五名选手或五个代表队参加比赛,他们的编号分别为1、2、3、4、5,各用一个抢答按纽,其编号与参赛者的号码一一对应。此外,还有一个清零按钮给主持人用来清零。这六个按钮全部采用自制的触摸按钮,它们由触摸探头、CMOS反相器和电阻组成。2: 抢答器应该具有数据锁存功能,并将锁存的数据...

四人抢答器电路图
四人抢答器电路图如下:在知识竞赛、文体娱乐活动(抢答赛活动)中,能准确、公正、直观地判断出抢答者的座位号。传统抢答器只是大概判断出抢答成功或犯规选手台号,无法显示出每个选手的抢答时间。而今抢答器可以通过数据来说明裁决结果的准确性、公平性。使比赛大大增加了娱乐性的同时,也更加公平、公正。

帮我设计一个六人抢答器,急~~
给你一个自动8路数显抢答器电路,较适用的。你可用6路。

EDA中用VHDL语言设计五人抢答器
五人抢答器:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY QDQ5 IS PORT(CLK,CLR:IN STD_LOGIC; --时钟(1HZ)和复位(开始)信号-- A,B,C,D,E:IN STD_LOGIC; --抢答的五个人-- LB:OUT STD_LOGIC; --喇叭-- LCD,LCD1,LCD2,LCD3,L...

急求四人抢答器vhdl语言编的 !!高手速来帮忙!!
--主持人按键 D1,D2,D3,D4 : out std_logic --抢答结果显示 ); end exp5; --- architecture behave of exp5 is signal Enable_Flag : std_logic; signal S : std_logic_vector(3 downto 0); begin process(S1,S2,S3,S4,S5) --允许抢答控制 begin S<=S1&S2&S3&S4; if(S5='...

北道区15717614254: 我做的八路抢答器的数码管都亮一般是哪里的问题? -
字青纳川: 数码管有共阴共阳之分,首先确认数码管你用的数码管是共阴还是共阳,数码管选择没有错误,说明驱动输出的电平没有错误,那就是数码管驱动电路或更前级的问题,问题中没有给出原理图,不知你是用单片机控制还是其他集成电路组成,

北道区15717614254: 运用74LS279,74LS148,74LS48做的八路抢答器,不管怎么数码管都不亮,各个开关按下都不亮,是怎么回事? -
字青纳川: 如果能够排除电路硬件的连接错误并且电源电压和连接正确的话,请用逻辑笔或者万用表逐级检查电路各逻辑电位的状态,排除坏的器件.数码管在有段位信号时相应段位应能点亮,否则可以判定是数码管坏啦.

北道区15717614254: 八路抢答器 用74LS148 74LS279和74LS48组成的八位电路抢答器按照电路图接好之后通电,数码管显示0 -
字青纳川: 你好!硬件连接没问题的话,就是软件有问题了哈~~慢慢找吧如果对你有帮助,望采纳.

北道区15717614254: 51单片机,为什么八个连在一起的数码管通过代码只亮一个,哪个硬件决定的?573吗,怎么决定的? -
字青纳川: 八个数码管显示可以把段码接一起,位码分开控制就可以达到这个效果了,动态显示就可以了

北道区15717614254: 八人抢答器为什么一直显示一个数字? -
字青纳川: 一直显示一个数字表明整个抢答器的输入和输出有问题;输入问题: 程序编写有问题,具体查看相关输入输出的逻辑关系是否正确; 硬件连接问题:插卡数码连线是否有相交短路的问题

北道区15717614254: 怎么把八路的数字抢答器改成四路的啊 -
字青纳川: 把5到8的开关去掉,相应的二极管也不要,接这些二极管饿芯片管脚让它悬空就行了

北道区15717614254: 八路抢答器原理是怎样?? -
字青纳川: 原发布者:流星初见八路抢答器原理分析八路抢答器主要由编码电路、锁存/译码/驱动电路于一体的CD4511集成电路、数码显示电路和报警电路组成.抢答器编码电路由VD1-VD12组成,实现数字的编码.CD4511是一块含BCD-7段锁存/译码/...

北道区15717614254: 抢答器PLC控制并用八段码显示程序 -
字青纳川: 以西门子S7200为例,假设A、B两个人抢答 i0.0是a i0.1是b i0.2为停止清零 q1.0是a抢成功 q1.1是b抢成功 LD I0.0 O Q1.0 AN I0.1 AN I0.2= Q1.0 MOVB 1, VB0 /如果A抢到,将1送给VB0,当然也可以/ SEG VB0, QB0 /7段码编译/ LD I0.1 O Q1.1 ...

北道区15717614254: 89c52单片机抢答器电路中,数码管为什么要使用三极管作为驱动 -
字青纳川: 单片机所能提供的电流太弱,需要放大,才能驱动数码管,不加也行,就是很暗.也可以用164驱动

北道区15717614254: 利用AT89C51 进行 8路抢答器的设计 -
字青纳川: 1.设计一个八路抢答器,可以同时供8命选手参加比赛,分别用8个按钮S0~S7来表示.2.有一个主持人控制电路,由主持人来控制抢答器的运行.3.抢答器具有锁存、定时、显示和报警功能.即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网