急呀` 数字电路的问题

作者&投稿:平宜 (若有异议请与网页底部的电邮联系)
数字电路的问题~

Y=AB'+A'C+B'C'+A'BD
=AB'+A'C+B'C+B'C'+A'BD
=AB'+A'C+B'+A'BD
=B'+A'C+A'BD

第一个是三态门,B = 1 时,A 传输至下一级的异或门,B = 0 时,输出高阻态,等于输出逻辑 1 ,做出 F 的真值表再化简:
F = A'B'C' + A'BC + AB'C' + ABC'
= A'B'C' + A'BC + AC' (B' + B)
= A'B'C' + A'BC + AC'
= (A'B' + A) C' + A'BC
= (B' + A) C' + A'BC
= (A'B)' C' + A'BC
= (A'B)⊙C

⊙ 是同或门的符号,即异或非门,输入相同,输出为 1 。
看你熟练哪种化简方法,用卡若图化简可能更快。

数字电路中,CP一般固定表示钟脉冲,其输入端应对应脉冲,而CL一般在芯片中表示低电平触发或工作,CH表示高电平触发或工作。

cl=clock缩写(时钟信号);cp=clock pulse缩写(时钟脉冲)同一信号的不同表示方法。

上个图好吗? CL是那根线啊
我以前学的是西安科技大学版的 JK触发器的图没有CL哦

应该是写法不同吧


东乡县19124038898: 一个简单的数字电路问题.某晶体管电路中,已测得基极电流为 10μ A,集电极电流为 1mA,则可算得该三极管发射极电流为__________,该三极管的电流放... -
校卿磷酸:[答案] 专业 1:集电极电流为 1010uA 放大倍数为99倍

东乡县19124038898: 数字电路的问题用卡诺图化简L=AB(C非)+(B非)C(BC=0),为什么在此题中(A非)BC与ABC是无关项? -
校卿磷酸:[答案] 因为bc=0,所以,(A非)bc=0,abc=0添上去掉对结果无影响,只有利于化简.

东乡县19124038898: 数字电路中的一些基础问题~真值表与逻辑代数.A+B+C+D 这个是如何得到真值表的?A+BC 的真值表又是多少? -
校卿磷酸:[答案] 第一个,a,b,c,d全为0时它们真值为0,其他都为1.第二个,a,b,c为000,001,010时为0,其他情况为1.

东乡县19124038898: 急呀` 数字电路的问题 -
校卿磷酸: 数字电路中,CP一般固定表示钟脉冲,其输入端应对应脉冲,而CL一般在芯片中表示低电平触发或工作,CH表示高电平触发或工作.

东乡县19124038898: 关于数字电路知识的几个问题 -
校卿磷酸: 1: 2极管有单相导电性,当接副电压不导通,当2极管正向电压达到一定伏特的时候开...

东乡县19124038898: 数字电路问题:由几个JK触发器组成的异步电路,如何判断它是加法计数器还是减法计数器?通过观察它的时序波形图. -
校卿磷酸:[答案] 刚看了下,如果是前面的触发器Q接后面触发器的cp端,此时当cp沿上升沿变化的话就是减法,cp沿下降沿就是加法,这个是看两个例题总结的,不知道对不对...

东乡县19124038898: 一个数字电路问题一片12位ADC的最小分辨电压为1.2mV,采用四舍五入的量化方法,若输入电压为4.387V,则输出数字量为:(A)E48H (B)E47H (C)E49... -
校卿磷酸:[答案] 如果四舍五入成立:4387/1.2=3655.83≈3656D=E48H=111001001000B 否则应该是E47H(取整.余数全舍)

东乡县19124038898: 数字电路中的问题~很急的 -
校卿磷酸: 不知道你设计的系统构成.1、简单的建议:呼叫声光报警屏最好将声音与光分开处理,病房号对应的光显示屏一一对应(病房数量不太多时比较合适),报警信号需要锁存,在被应答处理时可以解除光...

东乡县19124038898: 初学者,两个数字电路问题1、当数据选择器的数据输入端的个数为8时,则其地址码选择端应有多少位?2、两片中规模集成电路10进制计数器串联后,最大... -
校卿磷酸:[答案] 1.3或更多 2.100

东乡县19124038898: 数字电路的试题十进制数56的十六进制数是_____,8421BCD码是____判断题1.BCD码即8421码( )2.CMOS与非门和TTL与非门的逻辑功能不一样 ( )3.... -
校卿磷酸:[答案] 十进制数56的十六进制数是__38___,8421BCD码是_最常用的BCD码判断题1.BCD码即8421码(错 )2.CMOS与非门和TTL与非门的逻辑功能不一样 ( )3.两变量的"异或逻辑"和"同或逻辑"互为反函数( )4.三态门是三值逻辑门( )5.可以...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网