用verilog程序设计一个具有异步清零功能的24进制计数器

作者&投稿:貊菡 (若有异议请与网页底部的电邮联系)
~

module counter_24 ( input clk, input rst, input cnt_in ,output reg cnt_out );

reg [4:0] cnt;

always @ (posedge clk or posedge rst_n) begin

if (rst) cnt <= 5'b0;

else if (~cnt_in) cnt <= cnt;

else if (cnt == 5'b10110) cnt <= 5'b0;

else cnt <= cnt + 1'b1;

end

always @ (posedge clk or posedge rst) begin

if (rst) cnt_out <= 1'b0;

else if (cnt_in && cnt == 5'b10110) cnt_out <= 1'b1;

else cnt_out <= 1'b0;

end

endmodule

input add; //为1时加操作

input dec; //为1时减操作

output [5:0] counter;

reg [5:0] counter;

always @(add and dec) begin

if(add && !dec) begin

if(counter == 6'd38) begin

counter <= 6'd0;

扩展资料:

有一种记数系统便是24进制的,其中1~24有专门的符号来表示,大于24的数便可以像24进制那样写成多位数,如tokaputokapuŋgayepoko代表24进制中的P0(552)。malapu talusupuŋga talu代表24进制中的H2G(9856)。

为了避免混淆1和I,0和O,故跳过字母I、O,18~~23分别计作J、K、L、M、N、P。比如:16计作G、22计作N。

等于或大于24的数字计作:24→10、25→11、26→12??25→11中标粗体的1代表24。同一个数字在不同的位置代表的值是不一样的。

参考资料来源:百度百科-二十四进制




verilog hdl程序中出现错误,在哪里能看到错误原因?
在编译完成后,一般会在程序的下半部分出现编译的结果,如果有错误或者警告会以红色字体标注,可以使用鼠标点击错误,对应错误的部分会在程序中高亮显示。这种方式与C语言的编写是一样的。如答主这里使用的是Quartus,出现错误会出现如下提示:望采纳!

Verilog程序 编译没什么问题 但是 仿真出来的是红线 谁能帮我仿真一下...
没设初始值,仿真和下板测试不同,电路上每次上电都会对寄存器清零,但仿真中如果不设置初始值的话就是红线(未知值),位置值加1还是位置值···所以对于计数器来说需要有个确定的初始值。initial赋值 或 rst复位都可以

Verilog 编写的8分频模块,32分频模块求高手详细解释一下,我是新手 急...
第一个程序:clk1输出占空比为50%,当count=000~011(0到3)的时候,clk1=count[2]=0;当count=100~111(4~7)的时候,clk1=count[2]=1;count从0~7循环,即完成8分频 第二个程序:clk2输出占空比为50%,当count=00000~01111(0~15)的时候,clk1=count[2]=0;当count=10000~11111(16~...

verilog如何编写五选一选择器程序?
你好,可以看下面的代码: module fiveto1(input [4:0] sel,input [4:0] inputSignal,output reg outResult); always @(*) begin  case(sel) 5'h0: outResult <= input[sel];5'h1: outResult <= input[sel];5'h2: outResult <= input[...

怎么看Verilog的大型程序
你应该先把原理看明白,一般的说原理明白了之后就没什么大问题了。然后再去看要实现这一原理,各个模块怎么协调工作,数据在里面怎么流的。最后用QuartusII或者Debussy看代码,我觉得Debussy特别好使,因为可以看RTL,还可以看变量的load和driver,特别方便。看代码的时候只要把模块里面的各个变量对应好了,...

verilog写的程序 能申请软件著作权吗
没问题,我们公司就有用Verilog编写的程序的软件著作权

求基于verilog的分频程序,将100MHz分成1MHz
module sdio_slave(clk_100m,rst,clk_1m );input clk_100m;input rst;output clk_1m;reg clk_1m;reg [7:0]div_cnt;always@(posedge clk_100m or negedge rst)begin if(!rst)div_cnt<= 8'b0;else if(div_cnt==49)div_cnt<=8'b0;else div_cnt<=div_cnt+8'b1;end alwa...

VerilogHDL应用程序设计实例精讲图书信息
该书由享有盛誉的电子工业出版社出版,于2009年10月1日发行。它的国际标准书号为9787121095481,表明这是一本经过精心编撰的专业书籍。《Verilog HDL应用程序设计实例精讲》的开本为16开,适合各种阅读习惯,无论是专业技术人员还是学生,都能方便地翻阅和理解内容。对于对硬件描述语言(HDL)如Verilog有研究...

verilog程序问题:
1.warning: Pin "kbout[3]"is stuck at VCC 这个是因为在任何状态下kbout[3]都是高电平,而其他的不是,这个警告没关系。2.至于“所以在波形仿真的时候刚开始kbout总有一段是十六进制的0008,而不是直接就是FFFF”你这里的复位没有起作用 两个进程always @(posedge clk_div)都改成always @(...

阅读下面的3段verilog程序,说明其实现了什么功能?
s1, s0;assign out = s1 ? (s0 ? i3 : i2) : (s0? i1 : i0);endmodule 这个就更简单了,根据语句直接就是该功能。同学,我答的很纠结,如果你真的很初学的初学者,我就忍了,如果你已经学了一段时间的verilog了,还是这个水平,我不得不为你担忧哦。嘿嘿,玩笑,希望你能明白!

莒县13868089247: 用verilog程序设计一个具有异步复位功能的24进制计数器 -
彩娄清火: 1、编译,通过后,添加波形文件,如下图所示.2、保存,点击波形仿真按钮,开始波形仿真,如下图所示.3、仿真成功,结果如下图所示.4、波形仿真情况1:使能en及m=1时模23计数仿真结果如下图.5、波形仿真情况2:循环及m=0时模119计数仿真结果如下图.5、波形仿真情况3:m跳变及复位清零仿真结果如下图.

莒县13868089247: 使用Verilog HDL设计一个带有异步复位控制端的23进制计数器,其中复位信号为高电平 -
彩娄清火: 下面这个模块是一个计23输出进位的计数器,在顶层中例化多个该模块并将低位的cnt_out与高位的cnt_in相连就可以生成多位的23进制计数器 module counter_23 ( input clk, input rst, input cnt_in ,output reg cnt_out ); reg [4:0] cnt; always @ (...

莒县13868089247: Verilog语言实现计数器,具有递增递减计数功能,其中递增模值为50,递减30,且该计数器具有异步复位功能 -
彩娄清火: module counter_M4(clk,rst_n,reset,q); input clk; input rst_n; input reset; output [3:0] q; reg [3:0] cnt; reg [3:0] q; always @ (posedge clk or negedge rst_n) begin if(rst_n) begin; cnt8269

莒县13868089247: 数字逻辑课程设计求助
彩娄清火: 课程设计题目一:写出一个算术逻辑单元(ALU)的verilog HDL描述.该电路能进行两个算术运算和两个逻辑运算,且由一个2位的输入来选择操作.四个运算为加、减、与和或.课程设计题目二:用Verilog 语言描述以下电路:(3个小题目中可选2个做)1、一个D锁存器.2、一个具有异步复位端的上升沿触发的D触发器.3、一个用功能表描述的简单的JK触发器.要求:1、拷屏出完整的程序设计图,并进行文档说明.对程序进行设计仿真,拷屏出完整的输入输出仿真波形图.312255464@qq.com,谢谢

莒县13868089247: Verilog HDL设计一个20进制可逆计数器,具有置数、清零、计数功能.求源程序,仿真电路图和波形图 -
彩娄清火: 计数器,具有置数、清零、计数功能.求源程序,仿真电路图和波形图 知道更多的 确

莒县13868089247: 用Verilog HDL语言设计一个9分频器 -
彩娄清火: N倍奇数分频器(Verilog) 修改参数N和cnt_p,cnt_n的位宽,可以实现奇数N的分频.module N_odd_divider ( input i_clk, input rst_n, output o_clk );parameter N = 9;parameter M = N>>1;// bit_of_N: N_odd的二进制位宽 reg [3:0] cnt_p; //上升...

莒县13868089247: 用verilog语言编写一个230进制计数器 -
彩娄清火: module count_302(clk,rst,out); input clk; input rst; output [8:0]out; parameter cot=9'b100101101;//设定参数cot为302的二进制 reg [8:0]out; always @(posedge clk or negedge rst) if(!rst) begin out<=9'b000000000; end else if(out==cot) begin out<=9'b000000000; end else begin out<=out+1; end endmodule

莒县13868089247: 用verilog语言设计一个7位奇偶校验电路(case语句)、一个二位全减器、一个BCD - 7段LED译码器. -
彩娄清火: 这些程序都比较简单,最好自己练练,以ALU为例,输入有使能信号en,两个8位操作数,3位的运算操作符sel,输出16位结果.里面用个case语句就可以实现ALU的基本功能.

莒县13868089247: 阅读下列代码,该模块的功能是. module comp - 2(data0,data1,gt,eq,lt)...
彩娄清火: 首先要纠正一下,相对多的输入转化成为相对少的输出,一般叫编码器;相对少的输入转化成为相对多的输出,一般叫译码器.所以,确切地说你要做的应该是8-3编码器(还是3-8译码器). //8-3编码器verilog代码 module enc_83(datain, ...

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网