spi和iic技术的应用和比较

作者&投稿:采华 (若有异议请与网页底部的电邮联系)
SPI 与IIC 两者应用场合是不是一样的?~

iic两线SCL/SDA,spi三线或四线CLK/MISO/MOSI/(CS可选);
iic速率100k/400k,spi一般几M甚至更高速率;
iic总线上一主机多从机,spi总线上可以全双工通信;
iic有完善的应答机制可靠传输,spi是只规定接口没规定传输协议的总线;
iic可以跨背板传输,传输距离远;spi一般因为时序原因只能在板内走有限距离;

SPI总线有4根线,分别是SCK,SDO,SDI,SS,可以挂多个从设备,但是在挂多个从设备时,主设备端还需要做一个n选一的译码器,用于选择将要访问的从设备,因此,主设备上的管脚需求比较多;SPI总线在只有一个从设备时,只要用到SCK,SDO,SDI这三根线,此时选择SPI比I2C总线占优。
I2C总线只有两根线,SCL,SDA.,也可以挂多个从设备,对从设备的选择直接依靠协议完成,无需增加物理连线。一般情况下,当一块电路板上有多个从设备时,往往选用I2C而非SPI,因为SPI增加了额外额硬件开销以及电路板走线。
I2c和SPI总线一般是读取一些PROM等从设备用。
串行通信接口SCI,主要应用在两个智能设备之间的互相通讯。有别于SPI和I2C总线的主从模式,SCI可以是主-主模式

spi的应用实例:

执行命令时,首先通过SPI串口往Flash发送一连串的数据,然后以命令字开头,除了“读状态寄存器”外,后面还要跟上页地址和页内的字节地址发及一些无关位。“读主存”时,首先需要往Flash发送64bit的命令,即:命令字52(8bit)+4个“r”+页地址(11bit)+页内字节的起始地址(9bit)+32个无关位,发送完这64bit(通常是组合成8个字节)命令后,紧跟着从Flash读数据以可以了(即后面的SCK信号使得数据从SO脚移出),每读出一个字节,字节地址自动加1,如遇到该页的末尾,只要有SCK信号,系统再加到该页的开关处读数据。在整个操作过程中,CS脚始终为“0”;当CS脚回到“1”时,将终止此次操作,SO脚恢复到高阻状态。

主存传送到Bufferx是指将2048页主存中的任何一页(由PA10~PA0决定)的内容复制到缓冲区,发送完32bit命令后,当CS脚由“0”为“1”后,数据的复制才真正开始。

主存与Bufferx的比较主要是看主存中的某一页与缓冲区是否一样,当CS脚回到“1”后,比较才开始进行,比较的结果(是否一样)记录在状态寄存器的bit6中。

把带擦除的Bufferx传送到主存就是将缓冲区的内容复制到主存中的某一页,复制前应将缓冲区的内容复制到主存中的某一页,复制前应将主存中的该页数据全部擦掉,所有这些操作只有等到CS脚回到“1”后才能进行。对于不带擦除的Bufferx传送到主存的操作,在命令执行前,指定的主存页必须已被擦除过。

以Bufferx为缓冲为主存编程就是将“写Bufferx”与“带擦除的Bufferx传送到主存”进行组合。在发送完命令后,写入Flash的数据将被写入到缓冲区内,如果遇到缓冲区末尾,要继续写入的数据又从缓冲区开头写入,直到CS脚由“0”到“1”后,主存中被指定的页的数据被擦除后再将缓冲区的数据整个复制到主存的指定页中。

3 状态寄存器及操作完成指示

一般向Flash发送57H后以可读出状态寄存器(移出时,高位在前),状态寄存器的位定义如表2所列。其中Bit7为“0”表示器件忙,为“1”表示可以接收新的命令;Bit6是比较结果位,为“0”表示主存与缓冲区的数据一样,为“1”时表示最少有一个bit不同;Bit5~Bit3为该系列器件的容量指示位,一共种8种容量,对于AT45D041来说,该区域为“011”;Bit2~Bit0未使用。一共蛾6种操作会使器件处于“忙”状态,这6种操作是:

●主存传送到Bufferx;

●主存与Bufferx比较;

●带擦除的Bufferx传送到主存;

●不带擦除的Bufferx传送到主存;

●Bufferx为缓冲对主存编程;

●Bufferx为缓冲自动重编程。

这6种操作均需要监视状态寄存器,以便知道操作是否完成。除了监视状态寄存器外,更好的方法是将芯片管脚RDY/BUSY与CPU的某个中断相连,并将中断设置为升沿触发,操作一完成即可触发中断,这样可能节省大量的CPU时间。

4 SPI接口及应用

4.1 SPI接口

串行外围接口一共有4种操作模式,图3为其时序图。这些操作模式决定了传送与接收的时钟相位和极性,换句话说,也就是这些模式决定了利用时钟信号的哪个沿来控制数据传判断的方向。这些模式一般由主机(CPU)来设置。

当CPOL=0时,时钟信号SCK在空闲时为“0”,即模式0和1;

如果CPOL=1,则SCK在空闲时为“1”,即模式2和3;

当CPHA=CPOL时,数据总在时钟信号的上升沿移进Flash,下降沿移出Flash,即模式0和3。

4.2 SPI接口应用

对于12位的数据采集系统,使用AD公司的Flash集成MCU芯片AduC812可使电路设计更加简单快捷。由于AduC812有一个与I2C兼容的SPI接口,所以与AT45系列的Flash接口只需将相应的管脚相连即可,只不过要将AduC812设为主机,而AT45D041自然以是从机了。在AduC812中有SPI控制寄存器(SPICON)与SPI数据寄存器(SPIDAT),数据寄存器的使用如同使用RS232的Buffer一样简单,控制寄存器的位定义如表3所列。现对其各个位定义进行说明:

ISPI:SPI中断位,在每次传送过错SPIDAT中的数据后,置“1”;

WCOL:写冲突错误标志位,在写保护状态下编程会导致该位置“1”;

SPE:SPI允许控制位,为“0”时禁止;

SPIM:主从模式选择位,为“1”时选择主机;

CPOL:时钟极性选择,为“0”时,将使CLK在空闲时为低电平;

CPHA:时钟相位选择,为“0”时,在时钟上升沿被锁存;

SPR1、SPR0:SPI波特率选择位,BITRATE=Fose/[4,8,32,64]。“00”选择除以4。

4.3 SPI操作模式选择

AT45系列的Flash支持SPI模式0和3,并且在每个CS信号的下降沿,可通过采样时钟信号的状态自动选择操模式。由于在上电或复位时器件将自动进入缺省的模式3,所以使用械比较可靠。在AduC812的应用中,选择模式0和3对“写”Flash没有差别,但在“读”Flash时,选择模式0会使从Flash读出的数据总缺少一个bit,这可能是模式0中数据有效的时间比较靠后的缘故。图4和图5分别是模式0和模式3的“读主存”时序图,由时序图可以看出:模式0中数据的有效时间明显比模式3的有效时间靠后半个时钟周期。

表2 状态寄存器的位定义

Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0
RDY/BUSY COMP 0 1 1 × × ×

表3 SPI控制寄存器的位定义

Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0
ISPI WOOL SPE SPIM CPOL CPHA SPR1 SPR0
http://www.softwelt.com/Tech/TechDetail.aspx?TechID=178281

iic的应用实例
IIC总线通讯接口器件的CPLD实现
2007-03-09 19:03:27 作者:张冬冬 来源:互联网
摘要:介绍了采用ALTERA公司的可编程器件EPF10K10LC84-3实现IIC总线的通讯接口的基本原理,并给出了部分的VHDL语言描述。该通讯接口与专用的接口芯片相比,具有使用灵活、系统配置方便的特点。 关键词:IIC总线 CPLD VHDL ISP IIC总线是PHILIPS公司开发的一种简单、双向、二线制、同步串行总线。它只需两根线(串行时钟线和串行数据线)即可在连接于总线上的器件之间传送信息。该总线是高性能串行总线,具备多主机系统所需要的裁决和高低速设备同步等功能,应用极为广泛。 目前市场上虽然有专用IIC总线接口芯片,但是地址可选范围小、性能指标固定、功能单一、使用不方便。根据IIC总线的电气特性及其通讯协议,采用ALTERA公司的FLEX10K系列ISP器件EPF10K10LC84-3可以方便地实现IIC总线的通讯接口,且具有高速、易调试、可以灵活地实现地在线配置等优点,同时大大地减少了系统的开发周期。 1 IIC总线的数据传输规范 IIC总线主从机之间的一次数据传送称为一帧,由启动信号、地址码、若干数据字节、应答位以及停止信号等组成。通讯启动时,主动发送一个启动信号(当SCL线上是高电平时,SDA线上产生一个下降沿)、从机的地址码(8位)和读写信号;通讯停止时,主机发送一个停止信号(当SCL线上是高电平时,SDA线上产生一个上升沿)。在数据传送过程中,当SCL线上是高电平时,必须保证SDA线上的数据稳定;传送一个字节的数据,必须由接收机发一个应答信号。总线的传输码速率为100kbps(标准)~400kbps(高速)。采用+5V电源供电时,输入电平规定为:VILmax=1.5V,VIHmin=3V;采用宽电源电压时,电平规定为:VILmax=1.5VDD,VIHmin=3VDD。 IIC总线的通讯过程如图1所示。 2 ISP的逻辑实现 基于IIC总线的数据传输规范,为完成IIC总线的数据发送与接收,采用ISP器件实现通讯接口的逻辑功能框图如图2所示。通过频选、控制、可控时钟可获得100kHz、200kHz、300kHz、400kHz的时钟频率。器件退出总线竞争后,时钟线置高电平。 2.1 通讯的启动与停止 在主机方式下,接收数据时,ISP器件必须通过启动信号生成器送出一个启动信号,然后发送从机的地址信号和读写信号。只有这样,才能在总线上发送数据。该过程由控制寄存器启动。VHDL描述如下: PROCESS(WR,CS) ——WR IS CPU WRITE SIGNAL ——CS IS THIS CHIP"S SELECT SIGNAL ADDRS:="0"; IF(Ctrreg(0)="1"AND Ctrreg(3)="1" AND SCL1="1")THEN ——Ctrreg 为控制寄存器 CLK1COUNT:="0"; SDA1:="1"; IF(CLK1 EVENT AND CLK="0")THEN IF(CLK1COUNT="3")THEN SDA1:="1"; ADDRS:="1"; Ctrreg(3):="0"; CSTA:="1"; ELSE CLK1COUNT:=CLK1COUNT+1; END IF; END IF; END IF; IF(ADDRS="1"AND SCL1 "EVENT AND SCL1="1")THEN %26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;——将数据寄存器中的数据 %26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;%26;#183;——及WR信号移位发出(略) END IF; END PROCESS; 当一次通讯结束时,主机要发送停止信号。该过程同样同控制寄存器控制;当控制字第二位为"1"时,ISP器件产生停止信号。VHDL描述与启动类似。 2.2 发送数据 在主机方式下,完成启动和地址信号发送后即开始发送数据。发送数据时并串转换器在SCL的下降沿移位,保证SCL高电平时SDA上的数据稳定。发送的进程由WR信号和从机的应答信号启动。 当ISP器件在总线竞争失败时,由处理器将ISP器件转为从机工作方式,处理器向地址检测电路送该器件在系统中的地址。只有在接收到的地址信息与该器件所设的地址相同时,才发出应答信号,开始通讯。每发送一个字节即将SDA拉高,等待接收机的应答信号,准备下一个数据。 2.3 接收数据 在主机方式下,完成通讯启动和地址信号发送后便开始准备接收数据。每接收一个字节后要发出应答信号,产生一个负脉冲作为中断请求信号输出给处理器。若此时系统忙,则拉低SCL电平迫使发送机进入等待状态。从机方式下的接收与主机方式下一样。VHDL描述如下: PROCESS(SDA1) SACK:="0"; FULL1:="0"; STP:="0"; INTQ:="1"; IF(CSTA="1" AND ADDOK="1")THEN IF(SCL"1"EVENT AND SCL1="0")THEN ……——接收数据,串入并出移位(略) FULL1:="1"; END IF; END IF; IF(FULL1="1")THEN IF(RD"EVENT AND RD="1"AND SCL1"EVENT AND SCL1="0 AND BUSY="0")THEN SDA1:="0"; FULL:="0"; INTQ:="0"; ELSE SDA1:="1"; IF(CLK1"EVENT AND CLK="0" AND FULL1="0")THEN IF(CLK1COUNT"20")THEN INTQ:="1"; CLK1COUNT:="0"; ELSE CLK1COUNT:=CLK1COUNT+1; END IF; END IF; IF(SLAVE="1" AND SCL="1" AND SDA "EVENT AND SDA="1")THEN STP:="1"; CSTA:="0"; END IF; END IF; END PROCESS; 2.4 总线仲裁 在通讯过程中,ISP器件在发送数据的同时接收总线上的数据,将该数据与已送的数据进行比较,若不相同则置位状态发生器的SLAVE,表示该主机退出竞争。通过处理给控制寄存器发送控制字可以让ISP器件转入从机工作方式。这时启动地址检测,禁止SCL的发送。在一次通讯结束后,将状态生成器的END置位,此时处理器可以再次将ISP器件设置为主机方式。 2.5 控制寄存器与状态生成器 控制寄存器主要是定义ISP器件的工作状态,其各位的定义为: BUSY CLKEN CLKS2 CLKS1 STA STP M/S BUSY:若该位为"1",主机作为接收机时,不发应答信号; STA:启动信号位; STP:停止信号位; M/S:主从机位,用于选择芯片工作状态(主机还是从机); CLKS1、CLKS2:频选控制位; CLKEN:SCL使能位,该位为1时SCL置高电平。 状态生成器可以生成工作状态信号(中断、IIC总线竞争、从机方式时通讯开始与结束)供处理器查询处理。 3 参数配置 该器件可以配置为从100kbps(标准)到400kbps(高速)的任何传送速度,以满足不同的需要。只需在VHDL(描述的结构体中指明配置的参数即可实现配置,非常方便。 本设计只使用一片可编程芯片即可完成IIC总线接口的芯片功能。由于采用VHDL-93语言进行设计,具有良好的可移植性,可用于其它ISP厂家的产品中。通过ByteBlast下载线可以在线改变其功能,体现了ISP器件的优越性。
http://news.eeworld.com.cn/designarticles/eda/200703/11369.html

spi和iic区别:
SPI总线

----串行外围设备接口SPI(serial peripheral interface)总线技术是Motorola公司推出的一种同步串行接口。Motorola公司生产的绝大多数MCU(微控制器)都配有SPI硬件接口,如68系列MCU。SPI总线是一种三线同步总线,因其硬件功能很强,所以,与SPI有关的软件就相当简单,使CPU有更多的时间处理其他事务。
IIC总线是荷兰飞利浦PHILIPS开发的一种高效,实用,可靠的双向二线制(也有3线制,家电很少用)串行数据传输结构总线,该总线使各电路分割成各种功能的模块,并进行软件化设计,各个功能模块电路内都有集成一个IIC总线接口电路,因此都可以挂接在总线上,很好的解决了众多功能IC与CPU之间的输入输出接口,使其连接方式变得十分简单。
IIC总线上的器件分为主控器和被控器两大类它们之间只要在正常工作,总有一个IIC在总线上发送信息数据(一般是在开机后cpu首先像各个功能模块电路发出自检信号,得到各个功能模块电路正常反馈的数据信号后机器才进入正常工作状态)。

1. SPI
SPI 是一种四线制串行总线接口,为主/从结构,四条导线分别为串行时钟(SCLK)、主出从入(MOSI)、主入从出(MISO)和从选(SS)信号。主器件为时钟提供者,可发起读从器件或写从器件操作。这时主器件将与一个从器件进行对话。当总线上存在多个从器件时,要发起一次传输,主器件将把该从器件选择线拉低,然后分别通过 MOSI 和 MISO 线启动数据发送或接收。

SPI 时钟速度很快,范围可从几兆赫兹到几十兆赫兹,且没有系统开销。SPI 在系统管理方面的缺点是缺乏流控机制,无论主器件还是从器件均不对消息进行确认,主器件无法知道从器件是否繁忙。因此,必须设计聪明的软件机制来处理确认问题。同时,SPI 也没有多主器件协议,必须采用很复杂的软件和外部逻辑来实现多主器件架构。每个从器件需要一个单独的从选择信号。总信号数最终为 n+3 个,其中 n 是总线上从器件的数量。因此,导线的数量将随增加的从器件的数量按比例增长。同样,在 SPI 总线上添加新的从器件也不方便。对于额外添加的每个从器件,都需要一条新的从器件选择线或解码逻辑。图 2 显示了典型的 SPI 读/写周期。在地址或命令字节后面跟有一个读/写位。数据通过 MOSI 信号写入从器件,通过 MISO 信号自从器件中读出。

2. IIC总线
IIC 是一种二线制串行总线接口,工作在主/从模式。二线通信信号分别为开漏 SCL 和 SDA 串行时钟和串行数据。主器件为时钟源。数据传输是双向的,其方向取决于读/写位的状态。每个从器件拥有一个唯一的 7 或 10 位地址。主器件通过一个起始位发起一次传输,通过一个停止位终止一次传输。起始位之后为唯一的从器件地址,再后为读/写位。

IIC总线速度为从0Hz到3.4MHz。它没有SPI 那样快,但对于系统管理器件如温度传感器来说则非常理想。IIC 存在系统开销,这些开销包括起始位/停止位、确认位和从地址位,但它因此拥有流控机制。主器件在完成接收来自从器件的数据时总是发送一个确认位,除非其准备终止传输。从器件在其接收到来自主器件的命令或数据时总是发送一个确认位。当从器件未准备好时,它可以保持或延展时钟,直到其再次准备好响应。

IIC允许多个主器件工作在同一总线上。多个主器件可以轻松同步其时钟,因此所有主器件均采用同一时钟进行传输。多个主器件可以通过数据仲裁检测哪一个主器件正在使用总线,从而避免数据破坏。由于 IIC总线只有两条导线,因此新从器件只需接入总线即可,而无需附加逻辑。


玩转ESP32 + Arduino (六) 硬件定时器, IIC, SPI
ESP32有两个I2C控制器(也称为端口),负责处理两条I2C总线上的通信。每个I2C控制器都可以作为主机或从机运行。引脚21 默认的SDA, 引脚22是默认的SCL IIC需要引入自带库 Wire.h Wire继承steam类 steam类有的他都有 void requestFrom(uint16_t address, uint8_t size, bool sendStop)请求完成后 ...

电能是否可以实现无线输送,为什么?
电源转换高压模拟IC供货商Power Integrations (PI),近日宣布该公司EcoSmart节能技术的电源转换IC,已为全球消费大众及企业省下超过20亿美元的能源费用。2007年1月25日 香港科技园公司展望“中国RoHS”种种挑战与机遇随着行业向环境更为友好型设计转变,对产品测试服务的需求将遽增。此次精英访谈中,我们邀请了香港科技园(H...

本安仪表安全参数怎么测量,测量开路电压和短路电流,所用仪器仪表是什么...
(1)防爆标志(如,[Ex ia]IIC T6)(2)安全参数.本安设备在故障状态下的最高输入电压Ui ,最大输入电流Ii ,最大输入功率Pi ;本安设备最大内部等效电容Ci ,最大内部等效电感Li.对于关联设备,其主要安全特征参数如下:(1)防爆标志(如,[Ex ia]IIC)(2)安全参数.关联设备在故障状态下的最高...

请问PIC16F877和AT89C51,C8051这些单片机有什么区别?详细说一下,谢谢...
1,指令:PIC16F877是Microchip采用精简指令RISC,总共有35条指令,而51却又111条 2,寻址:PIC只有4种寻址方式,间接,立即,直接以及位寻址方式,C51有7种寻址方式 3,代码压缩:以1KB为例子,PI可以存放1024条C51存放600条 4,运行速度:pIC采用哈佛总线读取总线采用流水线方式作业,C51 采用冯若一曼...

euromotori电机是哪里的
EUROMOTORI司拥有雄厚的技术实力,可为客户提供完整的技术和应用方案。试验室拥有4套刹车检测系统。可提供0~700KW的电压和160KW的变流器。试验全程由软件记录,以保证数据的可靠性和准确性。EUROMOTORI电机是指依据电磁感应定律实现电能转换或传递的一种电磁装置。在电路中用字母M表示。它的主要作用是产生驱动...

请问orangepi 开发板4G-IOT 能否连接 USB 摄像头呢?
支持的,但是不一定是USB连接(需要看厂家是否给驱动),否则可能需要连接IO口或者IIC口才可以

吉林省(数学建模)水资源短缺风险综合评价 已获奖论文? 或是其他的相关...
Tobin和Montz提出利用风险概率(Pi)与系统脆弱性的乘积来度量风险, 本文模型构建中发现这一风险结果是对风险损害的重要体现, 由此沿用这一方法, 通过构建综合风险损害参数DI表示风险, 如下式所示: 通过指标体系, 将体现系统面临致险因子和承险因子对系统作用程度的致险率(RBI ) 及承险率(RSI)分别计算如下: 141...

设计带式输送机传动装置中的一级圆柱齿轮减速器 高分
Nw=60×1000V\/πD=60×1000×1.4\/π×220=121.5r\/min根据【2】表2.2中推荐的合理传动比范围,取V带传动比Iv=2~4,单级圆柱齿轮传动比范围Ic=3~5,则合理总传动比i的范围为i=6~20,故电动机转速的可选范围为nd=i×nw=(6~20)×121.5=729~2430r\/min符合这一范围的同步转速有960 r\/min和1420r\/min。

宏定义多条语句
= a + b;\\b = a - b;\\a = a - b;} 宏定义一般分为带参宏定义和不带参宏定义。带参宏定义,也即带参数的宏定义,如:define MAX(a,b) ((a)>(b) ? (a) : (b)) \/\/ 求最大值不带参宏定义,也即不带参数的宏定义,如:define PI 3.14159 \/\/ 定义圆周率pi的值 ...

Who能提供网络名词?老的新的都行。O是个菜鸟。
是美国网景(Netscape)公司出品的Internet浏览器软件之一。Navigator的英文意思是“航海者、海上探险者”,寓意人们可以使用该软件在Internet网络的海洋中航行、探险。Navigator不仅可以浏览万维网(WWW),还具有电子邮件(E-mail)、文件传输(FTP)、远程登录(Telnet)及新闻组(News Group)、信息浏览(Gopher)等多...

珠海市18838582796: spi协议和iiC协议的相同点和不同点?相同点呢? -
甘管翠莲:[答案] 最大的区别是SPI是4线(时钟,两个数据线,片选线)或者3线(时钟,两个数据线)的,I2C是两线的(时钟,一个数据线).一般SPI的速度比I2C快很多,因为它有两个数据线的所以可以实现全双工通讯,而I2C因为收发共用一根数据线,所以只能...

珠海市18838582796: spi协议和iiC协议的相同点和不同点?
甘管翠莲: 最大的区别是SPI是4线(时钟,两个数据线,片选线)或者3线(时钟,两个数据线)的,I2C是两线的(时钟,一个数据线).一般SPI的速度比I2C快很多,因为它有两个数据线的所以可以实现全双工通讯,而I2C因为收发共用一根数据线,所以只能是半双工的.

珠海市18838582796: SPI 与IIC 两者应用场合是不是一样的? -
甘管翠莲: iic两线SCL/SDA,spi三线或四线CLK/MISO/MOSI/(CS可选);iic速率100k/400k,spi一般几M甚至更高速率;iic总线上一主机多从机,spi总线上可以全双工通信;iic有完善的应答机制可靠传输,spi是只规定接口没规定传输协议的总线;iic可以跨背板传输,传输距离远;spi一般因为时序原因只能在板内走有限距离;

珠海市18838582796: 从使用的管脚数量,通信效率,使用方法等方面说明can总线,iic总线和spi总线的区别 -
甘管翠莲: 管脚数量的话CAN,IIC都是2个,SPI 3个.通信效率来说的话,IIC是半双工效率跟可靠性最差,SPI是全双工,通信效率很高,但是通信距离短,适合于板卡内部芯片通信.CAN是半双工,主要是可靠性高,属于长远距离通信.

珠海市18838582796: 谁能给我解释一下SPI,I2C,SCI总线的区别和应用在什么场合,最好 -
甘管翠莲: SPI总线有4根线,分别是SCK,SDO,SDI,SS,可以挂多个从设备,但是在挂多个从设备时,主设备端还需要做一个n选一的译码器,用于选择将要访问的从设备,因此,主设备上的管脚需求比较多;SPI总线在只有一个从设备时,只要用到SCK,...

珠海市18838582796: 在什么情况下要使用 IIC 通信 ?在什么情况下药使用 SPI 通信? -
甘管翠莲: 展开全部1、mcu有IIC接口和SPI接口,SPI速度较快,但是比IIC多用一条线.2、如果用IO口模拟IIC或SPI,要注意有的MCU的IO不能支持双向数据传输,这样只能用SPI.3、另外二者传输距离都不能太长,多见于板内通信.

珠海市18838582796: IIS总线和IIC总线有什么异同 -
甘管翠莲: SPI,IIc总线的区别 IIC的数据输入输出用的是一根线,SPI则分为data IN和 data OUT.由于这个原因,采用IIC时CPU的端口占用少,SPI多一根.但是由于IIC的数据线是双向的,所以隔离比较复杂,SPI则比较容易. 所以系统内部通信可用IIC,...

珠海市18838582796: 串行通讯方式 spi和 i2c各有何优点(适合在何种场合使用),两单片机板上相连,采用何种方式好. -
甘管翠莲: 1,SPI总线就是三根. 片选CS一根,这个由各自芯片决定,有高平或者低平的.这个其实是必须的,因为只有片选到了才能找到.和我用的总线74HC573(或者74LS373)锁存器一个道理.如果片选成功,就上第二根线SCL,这个上面就是时...

珠海市18838582796: 单片机中如何选用SPI、UART和IIC/SmBus?有谁知道他们的传输速度和应用场合? -
甘管翠莲: SPI 和I2C这两种通信方式都是短距离的,芯片和芯片之间或者其他元器件如传感器和芯片之间的通信.而UART是应用于两个设备之间的通信,如用单片机做好的设备和计算机的通信.这样的通信可以做长距离的.SmBus有点类似于USB设备跟计算机那样的短距离通信.简单的狭义的说SPI和I2C是做在电路板上的.而UART和SMBUS是在机器外面连接两个机器的.

珠海市18838582796: 单片机通信中:IIC与SPI哪个抗干扰能力强? -
甘管翠莲: SPI吧,IIC中只有一个时钟线和一个数据线 还都是双向的,SPI中数据线是两个方向分开的MOSI – 主输出从输入 MISO – 主输入从输出,另外还有NSS从器件使能信号线 增加了可靠性

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网