请教高手,用单片机向FPGA送数据,同时FPGA把数据反馈到单片机的程序?单片机用c语言。

作者&投稿:典律 (若有异议请与网页底部的电邮联系)
单片机c语言学习,FPGA学习~

我会汇编Q669034580

程序跟单片机不一样,但是要写程序!

FPGA和单片机串行通信接口的实现
发布日期:2005-10-08 作者:杜晓斌 陈兴文 来源:微计算机信息
摘要:本文针对由FPGA构成的高速数据采集系统数据处理能力弱的问题,提出FPGA与单片机实现数据串行通信的解决方案。在通信过程中完全遵守RS232协议,具有较强的通用性和推广价值。
关键词:FPGA; 单片机; 串行通信; RS232

1 前言

现场可编程逻辑器件(FPGA)在高速采集系统中的应用越来越广,由于FPGA对采集到的数据的处理能力比较差,故需要将其采集到的数据送到其他CPU系统来实现数据的处理功能,这就使FPGA系统与其他CPU系统之间的数据通信提到日程上,得到人们的急切关注。本文介绍利用VHDL语言实现 FPGA与单片机的串口异步通信电路。
整个设计采用模块化的设计思想,可分为四个模块:FPGA数据发送模块,FPGA波特率发生控制模块,FPGA总体接口模块以及单片机数据接收模块。本文着重对FPGA数据发送模块实现进行说明。

2 FPGA数据发送模块的设计

根据RS232 异步串行通信来的帧格式,在FPGA发送模块中采用的每一帧格式为:1位开始位+8位数据位+1位奇校验位+1位停止位,波特率为2400。本系统设计的是将一个16位的数据封装成高位帧和低位帧两个帧进行发送,先发送低位帧,再发送高位帧,在传输数据时,加上文件头和数据长度,文件头用555555来表示,只有单片机收到555555时,才将下面传输的数据长度和数据位进行接收,并进行奇校验位的检验,正确就对收到的数据进行存储处理功能,数据长度可以根据需要任意改变。由设置的波特率可以算出分频系数,具体算法为分频系数X=CLK/(BOUND*2)。可由此式算出所需的任意波特率。下面是实现上述功能的VHDL源程序。
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity atel2_bin is
port( txclk: in std_logic; --2400Hz的波特率时钟
reset: in std_logic; --复位信号
din: in std_logic_vector(15 downto 0); --发送的数据
start: in std_logic; --允许传输信号
sout: out std_logic --串行输出端口
);
end atel2_bin;
architecture behav of atel2_bin is
signal thr,len: std_logic_vector(15 downto 0);
signal txcnt_r: std_logic_vector(2 downto 0);
signal sout1: std_logic;
signal cou: integer:=0;
signal oddb:std_logic;
type s is(start1,start2,shift1,shift2,odd1,odd2,stop1,stop2);
signal state:s:=start1;
begin
process(txclk)
begin
if rising_edge(txclk) then
if cou<3 then thr<="0000000001010101"; --发送的文件头
elsif cou=3 then
thr<="0000000000000010"; --发送的文件长度
elsif (cou>3 and state=stop2) then thr<=din;--发送的数据
end if;
end if;
end process;
process(reset,txclk)
variable tsr,tsr1,oddb1,oddb2: std_logic_vector(7 downto 0);
begin
if reset='1' then
txcnt_r<=(others=>'0');
sout1<='1';
state<=start1;
cou<=0;
elsif txclk'event and txclk='1' then
case state is
when start1=>
if start='1' then
if cou=3 then
len<=thr;
end if;
tsr:=thr(7 downto 0);
oddb1:=thr(7 downto 0);
sout1<='0'; --起始位
txcnt_r<=(others=>'0');
state<=shift1;
else
state<=start1;
end if;
when shift1=>
oddb<=oddb1(7) xor oddb1(6) xor oddb1(5) xor oddb1(4) xor oddb1(3) xor oddb1(2) xor oddb1(1) xor oddb1(0);
sout1<=tsr(0); --数据位
tsr(6 downto 0):=tsr(7 downto 1);
tsr(7):='0';
txcnt_r<=txcnt_r+1;
if (txcnt_r=7) then
state<=odd1;cou<=cou+1;
end if;
when odd1=> --奇校验位
if oddb='1' then
sout1<='0';state<=stop1;
else
sout1<='1';state<=stop1;
end if;
when stop1=>
sout1<='1'; --停止位
if cou<4 then
state<=start1;
else
state<=start2;
end if;
when start2=>
tsr1:=thr(15 downto 8);
oddb2:=thr(15 downto 8);
sout1<='0'; --起始位
txcnt_r<=(others=>'0');
state<=shift2;
when shift2=>
oddb<=oddb2(7) xor oddb2(6) xor oddb2(5) xor oddb2(4) xor oddb2(3) xor oddb2(2) xor oddb2(1) xor oddb2(0);
sout1<=tsr1(0);--数据位
tsr1(6 downto 0):=tsr1(7 downto 1);
tsr1(7):='0';
txcnt_r<=txcnt_r+1;
if (txcnt_r=7) then
state<=odd2;
end if;
when odd2=> --奇校验位
if oddb='1' then
sout1<='0';state<=stop2;
else
sout1<='1';state<=stop2;
end if;
when stop2=>
sout1<='1'; --停止位
if len="0000000000000000" then
state<=stop2;
else
state<=start1;
len<=len-1;
end if;
end case;
end if;
end process;
sout<=sout1;
end behav;

......
===============================
另外回答楼下的问题,第一,到这里来回答问题本来就是出于一中帮助别人快乐自己的心态。第二,具体实现根据硬件的不同写软件肯定也不一样,楼主没有给出具体硬件电路;第三,即使给出了,到这里来提问,你说哪个愿意花两天时间来免费为你提供这个工程?你愿意?谁帮我解决实际工程问题,不要说50分,5000分我也给O(∩_∩)O

我给你问一下更厉害的高手吧

查查书吧

上面的那位叫做tangbin76229 - 魔法师 五级 可不怎么地道啊!你以为你说的多或者说是随便粘来的一点文字挺多的就糊弄人啊!这不对吧!
上面那位仁兄回答的和问题问的根本就不沾边。我也是学单片机和FPGA的。我用的是汇编语言。至于C语言的编我就不知道啦!但我想说的是上面那位说的根本就不对!


单片机高手帮忙解答哈,初学者来请教,帮我解答一下问题
1、T1作为波特率发生器只能工作在方式2(即自动重装载模式),你所说的两个模式是不一个概念,一个是定时器工作模式,一个是串口工作模式。2、SMOD当然也可以设为1,为1时波特率加倍。

单片机IO口的疑问,请教老师和高手,急用!!!
如果该指令是输入数据(读外部数据存储器或程序存储器),如MOVX A,@DPTR(将外部RAM某一存储单元内容通过P0口数据总线输入到累加器A中),则输入的数据仍通过读引脚三态缓冲器到内部总线 通过以上的分析可以看出,当P0作为地址\/数据总线使用时,在读指令码或输入数据前,CPU自动向P0口锁存器写入0FFH,...

单片机程序的一个问题,请教高手
result = (bit)(P0 & 0x80); 只要最高位,屏蔽其它位,bit意思就是定义result只能为1或0,强行转换,只取最高位。

用51单片机设计了一个简单的倒计时器,但数码管有点小问题,请教高手
试试看:include<reg51.h> define uint unsigned int define uchar unsigned char uchar code table[] = { 0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F};uchar data1[]={5, 9}; \/\/保存倒计时初始值 uchar num; \/\/设为全局变量 uchar count;sbit a = P1^0; \/\/设置...

在单片机实验中,如何控制8255芯片的片选cs端口。万分感谢高手指教...
用到P0口和P2口的第二功能时,P0口输出低8位地址,P2口输出高8位地址,这样在输出地址的同时把CS拉低选中8255。首先输出地址,用74ls373把地址锁存以后,再通过P0口和8255数据进行数据交换!如果还有疑问可以去看看51教材P口的第二功能!!!我的回答希望对你有点帮助!!!这两种方式的区别 在于:前...

哪位高手能用51单片机输出1MHZ方波
对于传统51单片机只要晶振频率能达24M,一个指令周期是0.5us,因此将某引脚置0再置1只需1us,不断重复这个过程,就可以产生1MHZ方波 如果是1T单片机,产生1MHZ方波就更容易了,因采用12m晶振时一条指令只有0.1us.产生方波的同时,还可以再指行其它指令 ...

请教高手,最近在学习单片机,求数码管跑秒程序?(上一个问题因为段选位...
每次刷新都全部重写8个数码管。这样的好处是:8个都可以随时更改显示内容,同时没有大量的延时程序,机器可以同时进行除了定时外其他工作,比如读温度,AD转换等等,比利用延迟方法的余辉显示效率高,不必把大量的时间浪费在延迟等待上,可以同时进行很多事情。缺点是:如果刷新显示的速度不够快,那么数码管...

单片机编程知识九大问答知识
平时也要注意硬件知识的积累,多上上电子论坛网站,买一些相关杂志。可能的话,可以到电子市场去买一些小零件,自己搭一个小系统让它工作起来。如何才能成为单片机的高手啊?答:要成为单片机高手,应该多实践,时常关注单片机的发展趋势;经常上一些相关网站,从那里可以找到许多有用的资料。;

高手来答:火线和零线怎么用单片机来检测
单片机要检测也需要外围电路提供信号才行,所以归根结底要靠你设计一个外围检测电路才行。零线上电流接近于0,火线上电流很大,可以利用这一点来检测。

单片机用PID控制可控硅,让电烤箱温度恒定的算法请教高手!
pid位置式算法,在温度比设定温度低x度时,用pd,当比设定温度低x度以内,用pid。可控硅部分,硬件用BTA26或者BT139(看加热器件的功率了),采用过零检测来确定过零点,用单片机的外部中断配合tmer,来控制开关时间。在pd和pid阶段,pid参数可能要用2套参数,自己实验吧,还有,你可以看一下,Ziegler-...

郎溪县18967634536: 我想用单片机做信源输出,即把ram里的0和1数据送往FPGA,不知道该怎么编程,我自己编的时候老是感觉不对. -
关萧感冒: 自己简单做一个协议,比如先发55aa,然后紧接着32个数,后面再发aa55表示结束.fpga那一侧就写一个简单状态机先搜索55aa,然后存32个数据,最后再验证一下是不是aa55?如果是就返回aa55,如果不是就返回55aa.单片机再根矩返回值再决定是否重传

郎溪县18967634536: 如何实现51单片机和FPGA之间的数据传送,如图中用51单片机向FPGA的24位宽的pina[23:0]和pinb[23:0]传送数据?
关萧感冒: 你这个设计对于51单片机来说,如果直接按照楼上的总线对接,pina和pinb那就是48哥引脚. 51单片机有几个型号有6个port口啊. 个人建议:不考虑实时性的话.在FPGA上设计一个数据接口,SPI的.或者8pin串口加data clk的. 48个数据通讯的方式有个IC是AD9852的.也是MCU和IC通信,数据量为48bit.你可以参考设计一个FPGA上的通信io.

郎溪县18967634536: fpga芯片怎么与单片机芯片通信 -
关萧感冒: 1、可以在FPGA上编一个串口,就可以和单片机通信了 2、定义一组IO,一定的时序和单片机以并行方式进行通信(对于单片机来说有点浪费接口资源)FPGA等于一个空白的芯片,什么都没有.你需要什么功能,都是要自己编程实...

郎溪县18967634536: 求大神写一个c8051f020和fpga的通信,单片机这边程序,程序功能很简单,只要单片机向fpga发一个数据即可. -
关萧感冒: 看一下外部存储器接口(EMIF),把fpga当作是一个外部存储器来操作 网上有代码参考

郎溪县18967634536: 谁能告诉我51单片机和fpga怎样通信啊?不知道怎么写verilog程序.谁有这方面的资料传给我呀! -
关萧感冒: 单纯这种资料是肯定没有的,这些都是实践一下就会了的.单片机和fpga通信需要注意的地方:1、电平要一样,不要一个3.3v,一个5v,这个在fgpa中应该可以设置的.2、先定一个通信协议,就相当于二者通信要握手,比如,单片机要给fpga发送数据,要先发一个准备好信号,fpga接受之后要返回一个已接受信号,让单片机发下一个数据.就是类似这样的协议.3、还有一个比较关键的,就是除燥,尤其是单片机的信号可能要送到fpga当时钟,更要除燥,否则出不来结果.不要寄希望于资料了,自己实践一下才能真正掌握.

郎溪县18967634536: 实现单片机和FPGA通信(串行、并行),请问有哪位路过的大神会的... -
关萧感冒: 首先你要知道FPGA(Field-Programmable Gate Array)是什麽,然后才能进行接下来的操作.你需要做的是对FPGA进行编程,然后下载调试.这些之后你就可以把它当作一般的外设资源来用了,具体实现了什么功能,以及FPGA与MCU之间的硬件连线,控制,通讯方式,是你自己定义的

郎溪县18967634536: FPGA有4个字节的数据通过串口发送给单片机,串口每次发送一个字节,这个过程需要怎样实现?高手帮忙! -
关萧感冒: 那就是FPGA里面自己编写串口的程序,单片机也有串口的程序.两个通信.fpga直接发送数据给单片机就行了,单片机有串口中断的,所有不必担心错码,失码问题

郎溪县18967634536: 请问FPGA是如何与单片机通讯的
关萧感冒: 1 前言 现场可编程逻辑器件(FPGA)在高速采集系统中的应用越来越广,由于FPGA 对采集到的数据的处理能力比较差,故需要将其采集到的数据送到其他CPU 系统 来实现数据的处理功能,这就使FPGA 系统与其他CPU 系统之间的数据通信...

郎溪县18967634536: FPGA向单片机传输32位数据怎么实现,数据是分四次读给单片机的,流程图能给我吗 -
关萧感冒: for(i=0,i<4;i++) { 单片机=fpga; —nop—(); fpga>>8; 单片机地址++;} 如果fpga是一次性产生32位的数据 那这个就能用 如果fpga是四次产生8位的数据并在四个地址里面 合起来是32位 那么就不能用上面的了 for(i=0;i<4;i++) { 单片机=fpga; 单片机地址++; fpga地址++;} 我也是新手 给点建议吧 希望有用

郎溪县18967634536: 如何使FPGA与单片机兼容使用 -
关萧感冒: 啥叫兼容.问题描述不清楚.如果是FPGA和单片机要连接,交换数据,注意FPGA与单片机接口引脚需要加三态输出端,并且设立一个供单片机片选的FPGA引脚,当片选无效时,FPGA接口线应当为高阻状态.

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网