设计一位全加器 ,74ls138 +2个四输入与非门构成的全加器,用vhdl语言设计程序。

作者&投稿:阴汪 (若有异议请与网页底部的电邮联系)
用74ls138设计一个全加器~


如果是设计四位加法器还可以,但已经很麻烦了。可是设计全加器是不能的,因全加器有5个变量,译码器要有32个输出端,而两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死了,也没有意义画这么复杂的电路了。
可现在的问题是,两片74LS138是做不来的,这是谁出的鬼题?

ENTITY adder IS
PORT(a,b,c: IN bit;
s,c0: OUT bit);
END adder;
ARCHITECTURE one OF adder IS
SIGNAL y_n:bit_vector(7 DOWNTO 0);
BEGIN
decoder:PROCESS(a,b,c)
VARIABLE y:bit_vector(7 DOWNTO 0);
BEGIN
y := (OTHERS => '1');
CASE c&b&a IS
WHEN "000" => y(0) := '0';
WHEN "001" => y(1) := '0';
WHEN "010" => y(2) := '0';
WHEN "011" => y(3) := '0';
WHEN "100" => y(4) := '0';
WHEN "101" => y(5) := '0';
WHEN "110" => y(6) := '0';
WHEN "111" => y(7) := '0';
END CASE;
y_n <= y;
END PROCESS;
s <= NOT(y_n(1) AND y_n(2) AND y_n(4) AND y_n(7));
c0 <= NOT(y_n(3) AND y_n(5) AND y_n(6) AND y_n(7));
END one;


蓬溪县17269511154: 用74ls138设计一个全加器 -
禾妮美士:[答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

蓬溪县17269511154: 用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, -
禾妮美士:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

蓬溪县17269511154: 用74ls138设计一个全加器 -
禾妮美士: Y4.Y5.Y7 ___________ — — — — Ci=Y3.Y6设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2

蓬溪县17269511154: 用74LS138和与非门实现全加器 呼呼 电路图啊 接线图啊详细点呗 谢谢哈 -
禾妮美士:[答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器.全加器有3个输入端:a,b,ci;有2个输出端:s,co.与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7).这里可以把3-8译码器...

蓬溪县17269511154: 设计一位全加器 ,74ls138 +2个四输入与非门构成的全加器,用vhdl语言设计程序. -
禾妮美士: ENTITY adder IS PORT(a,b,c: IN bit; s,c0: OUT bit); END adder; ARCHITECTURE one OF adder IS SIGNAL y_n:bit_vector(7 DOWNTO 0); BEGIN decoder:PROCESS(a,b,c) VARIABLE y:bit_vector(7 DOWNTO 0); BEGIN y := (OTHERS => '1'); ...

蓬溪县17269511154: 用74ls138怎样设计全加器做电路图用什么软件 -
禾妮美士: 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

蓬溪县17269511154: 只用两片74LS138设计一个全加器 -
禾妮美士: 不为什么.就是可以做成全加器 用74LS138设 可以构成一位全加器,STb(低电平)和STc(低电平有效)两个接地 STa高电平 A0 A1 A2 为输入 输出公式没法写上来

蓬溪县17269511154: 求用两片74ls138设计一个全加器的电路图?? -
禾妮美士: 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

蓬溪县17269511154: 什么是一位全加器,怎么设计逻辑电路图 -
禾妮美士: 全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器. 一位全加器可以处理低位进位,并输出本位加法进位.多个一位全加器进行级联可以得到多位全加器.常用二进制四位全加器74LS283. ...

蓬溪县17269511154: 求用两片74ls138设计一个四位全加器的电路图??谢谢 -
禾妮美士: 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 星空见康网